Volume 69, Number 12, December 2020
Analysis and Efficient Implementations of a Class of Composited de Bruijn Sequences.

Kalikinkar Mandal Bo Yang Guang Gong Mark D. Aagaard

STFL-DDR: Improving the Energy-Efficiency of Memory Interface.

Payman Behnam Mahdi Nazm Bojnordi

Improving SSD Read Latency via Coding.

Hyegyeong Park Jaekyun Moon

LUTNet: Learning FPGA Configurations for Highly Efficient Neural Network Inference.

Erwei Wang James J. Davis Peter Y. K. Cheung George A. Constantinides

Improved Basic Block Reordering.

Andy Newell Sergey Pupyrev

Tight Interval Inclusions with Compensated Algorithms.

Stef Graillat Fabienne Jézéquel

New Low-Area Designs for the AES Forward, Inverse and Combined S-Boxes.

Arash Reyhani-Masoleh Mostafa M. I. Taha Doaa Ashmawy

Round-Off Error and Exceptional Behavior Analysis of Explicit Runge-Kutta Methods.

Sylvie Boldo Florian Faissole Alexandre Chapoutot

New Results on Non-Normalized Floating-Point Formats.

Sonia González-Navarro Javier Hormigo

Implementing the Residue Logarithmic Number System Using Interpolation and Cotransformation.

Mark G. Arnold Vassilis Paliouras Ioannis Kouretas


Volume 69, Number 11, November 2020
Elliptic Curve Cryptography Point Multiplication Core for Hardware Security Module.

Mohamad Ali Mehrabi Christophe Doche Alireza Jolfaei

A Lightweight Detection Algorithm For Collision-Optimized Divide-and-Conquer Attacks.

Changhai Ou Siew-Kei Lam Chengju Zhou Guiyuan Jiang Fan Zhang

Side-Channel Analysis and Countermeasure Design on ARM-Based Quantum-Resistant SIKE.

Fan Zhang Bolin Yang Xiaofei Dong Sylvain Guilley Zhe Liu Wei He Fangguo Zhang Kui Ren

A Hardware-Based Architecture-Neutral Framework for Real-Time IoT Workload Forensics.

Liwei Zhou Yang Hu Yiorgos Makris

MTHAEL: Cross-Architecture IoT Malware Detection Based on Neural Network Advanced Ensemble Learning.

Danish Vasan Mamoun Alazab Sitalakshmi Venkatraman Junaid Akram Zheng Qin

Instruction Sequence Identification and Disassembly Using Power Supply Side-Channel Analysis.

Deepak Krishnankutty Zheng Li Ryan W. Robucci Nilanjan Banerjee Chintan Patel

SCAUL: Power Side-Channel Analysis With Unsupervised Learning.

Keyvan Ramezanpour Paul Ampadu William Diehl

2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets.

Mohammed Nabeel Mohammed Ashraf Satwik Patnaik Vassos Soteriou Ozgur Sinanoglu Johann Knechtel

Enabling Secure NVM-Based in-Memory Neural Network Computing by Sparse Fast Gradient Encryption.

Yi Cai Xiaoming Chen Lu Tian Yu Wang Huazhong Yang

Understanding Selective Delay as a Method for Efficient Secure Speculative Execution.

Christos Sakalis Stefanos Kaxiras Alberto Ros Alexandra Jimborean Magnus Själander

Built-in Security Computer: Deploying Security-First Architecture Using Active Security Processor.

Dan Meng Rui Hou Gang Shi Bibo Tu Aimin Yu Ziyuan Zhu Xiaoqi Jia Yu Wen Yun Yang

OPTIMUS: A Security-Centric Dynamic Hardware Partitioning Scheme for Processors that Prevent Microarchitecture State Attacks.

Hamza Omar Brandon D'Agostino Omer Khan

Guest Editors' Introduction to the Special Issue on Hardware Security.

Amro Awad Rujia Wang


Volume 69, Number 10, October 2020
A Power- and Performance-Aware Software Framework for Control System Applications.

Michael Giardino Eric Klawitter Bonnie H. Ferri Aldo A. Ferri

Pipelined Hardware Implementation of COPA, ELmD, and COLM.

Lilian Bossuet Cuauhtemoc Mancillas-López Brisbane Ovilla-Martinez

Algorithmics of Cost-Driven Computation Offloading in the Edge-Cloud Environment.

Mingzhe Du Yang Wang Kejiang Ye Chengzhong Xu

PARMA: Parallelization-Aware Run-Time Management for Energy-Efficient Many-Core Systems.

Mohammed A. Noaman Al-Hayanni Ashur Rafiev Fei Xia Rishad A. Shafik Alexander B. Romanovsky Alex Yakovlev

LUT Input Reordering to Reduce Aging Impact on FPGA LUTs.

Mohammad Ebrahimi Rezgar Sadeghi Zainalabedin Navabi

Schedulability Analysis of Global Scheduling for Multicore Systems With Shared Caches.

Jun Xiao Sebastian Altmeyer Andy D. Pimentel

Separable Binary Convolutional Neural Network on Embedded Systems.

Renping Liu Xianzhang Chen Duo Liu Yingjian Ling Weilue Wang Yujuan Tan Chunhua Xiao Chaoshu Yang Runyu Zhang Liang Liang

Enabling Energy-Efficient and Reliable Neural Network via Neuron-Level Voltage Scaling.

Jing Wang Xin Fu Xu Wang Shubo Liu Lan Gao Weigong Zhang

Laser-Induced Fault Injection on Smartphone Bypassing the Secure Boot-Extended Version.

Aurélien Vasselle Hugues Thiebeauld Quentin Maouhoub Adèle Morisset Sébastien Ermeneux

Lightweight Ciphers and Their Side-Channel Resilience.

Annelie Heuser Stjepan Picek Sylvain Guilley Nele Mentens

Efficient Software Implementation of Ring-LWE Encryption on IoT Processors.

Zhe Liu Reza Azarderakhsh Howon Kim Hwajeong Seo

Techniques to Reduce Switching and Leakage Energy in Unrolled Block Ciphers.

Siva Nishok Dhanuskodi Daniel E. Holcomb


Volume 69, Number 9, September 2020
Object-Level Memory Allocation and Migration in Hybrid Memory Systems.

Haikun Liu Renshan Liu Xiaofei Liao Hai Jin Bingsheng He Yu Zhang

Algorithms for Calculating Correctly Rounded Exponential Function in Double-Precision Arithmetic.

Alexander N. Godunov

Small Constant Mean-Error Imprecise Adder/Multiplier for Efficient VLSI Implementation of MAC-Based Applications.

Mohammad Haji Seyed Javadi Mohammad Hossein Yalame Hamid Reza Mahdiani

Scalable Suffix Sorting on a Multicore Machine.

Jing Yi Xie Ge Nong Bin Lao Wentao Xu

BLADE: An in-Cache Computing Architecture for Edge Devices.

William Andrew Simon Yasir Mahmood Qureshi Marco Rios Alexandre Levisse Marina Zapater David Atienza

Real-Time Scheduling and Analysis of OpenMP DAG Tasks Supporting Nested Parallelism.

Jinghao Sun Nan Guan Feng Li Huimin Gao Chang Shi Wang Yi

Advance Virtual Channel Reservation.

Boqian Wang Zhonghai Lu

Hybrid Binary-Unary Hardware Accelerator.

S. Rasoul Faraji Kia Bazargan

Fast Exact NPN Classification by Co-Designing Canonical Form and Its Computation Algorithm.

Xuegong Zhou Lingli Wang Alan Mishchenko

Analysis of Threading Libraries for High Performance Computing.

Adrián Castelló Rafael Mayo Gual Sangmin Seo Pavan Balaji Enrique S. Quintana-Ortí Antonio J. Peña

Automatic Generation of Analog/Mixed Signal Virtual Platforms for Smart Systems.

Enrico Fraccaroli Michele Lora Franco Fummi


Volume 69, Number 8, August 2020
DS3: A System-Level Domain-Specific System-on-Chip Simulation Framework.

Samet E. Arda Anish Krishnakumar A. Alper Goksoy Nirmal Kumbhare Joshua Mack Anderson L. Sartor Ali Akoglu Radu Marculescu Ümit Y. Ogras

Collaborative Accelerators for Streamlining MapReduce on Scale-up Machines With Incremental Data Aggregation.

Abraham Addisie Valeria Bertacco

PaRTAA: A Real-Time Multiprocessor for Mixed-Criticality Airborne Systems.

Shibarchi Majumder Jens Frederik Dalsgaard Nielsen Thomas Bak

Tetris: Using Software/Hardware Co-Design to Enable Handheld, Physics-Limited 3D Plane-Wave Ultrasound Imaging.

Brendan L. West Jian Zhou Ronald G. Dreslinski Oliver D. Kripfgans J. Brian Fowlkes Chaitali Chakrabarti Thomas F. Wenisch

LAWS: Locality-AWare Scheme for Automatic Speech Recognition.

Reza Yazdani José-María Arnau Antonio González

HEAWS: An Accelerator for Homomorphic Encryption on the Amazon AWS FPGA.

Furkan Turan Sujoy Sinha Roy Ingrid Verbauwhede

Accelerating Generative Neural Networks on Unmodified Deep Learning Processors - A Software Approach.

Dawen Xu Cheng Liu Ying Wang Kaijie Tu Bingsheng He Lei Zhang

Accelerating Hyperdimensional Computing on FPGAs by Exploiting Computational Reuse.

Sahand Salamat Mohsen Imani Tajana Rosing

FPDeep: Scalable Acceleration of CNN Training on Deeply-Pipelined FPGA Clusters.

Tianqi Wang Tong Geng Ang Li Xi Jin Martin C. Herbordt

PANTHER: A Programmable Architecture for Neural Network Training Harnessing Energy-Efficient ReRAM.

Aayush Ankit Izzat El Hajj Sai Rahul Chalamalasetti Sapan Agarwal Matthew J. Marinella Martin Foltin John Paul Strachan Dejan S. Milojicic Wen-Mei Hwu Kaushik Roy

Accelerating Deep Neural Network In-Situ Training With Non-Volatile and Volatile Memory Based Hybrid Precision Synapses.

Yandong Luo Shimeng Yu

Neuromorphic System for Spatial and Temporal Information Processing.

Abdullah M. Zyarah Kevin Gomez Dhireesha Kudithipudi

Guest Editorial: IEEE TC Special Issue on Domain-Specific Architectures for Emerging Applications.

Lisa Wu Wills Karthik Swaminathan


Volume 69, Number 7, July 2020
$\pi$π-BA: Bundle Adjustment Hardware Accelerator Based on Distribution of 3D-Point Observations.

Qiang Liu Shuzhen Qin Bo Yu Jie Tang Shaoshan Liu

WooKong: A Ubiquitous Accelerator for Recommendation Algorithms With Custom Instruction Sets on FPGA.

Chao Wang Lei Gong Xiang Ma Xi Li Xuehai Zhou

Accelerating Deep Learning Systems via Critical Set Identification and Model Compression.

Rui Han Chi Harold Liu Shilin Li Shilin Wen Xue Liu

Pre-Defined Sparsity for Low-Complexity Convolutional Neural Networks.

Souvik Kundu Mahdi Nazemi Massoud Pedram Keith M. Chugg Peter A. Beerel

A Neural Network-Based On-Device Learning Anomaly Detector for Edge Devices.

Mineto Tsukada Masaaki Kondo Hiroki Matsutani

Distributed Training of Support Vector Machine on a Multiple-FPGA System.

Jyotikrishna Dass Yashwardhan Narawane Rabi N. Mahapatra Vivek Sarin

Machine Learning Computers With Fractal von Neumann Architecture.

Yongwei Zhao Zhe Fan Zidong Du Tian Zhi Ling Li Qi Guo Shaoli Liu Zhiwei Xu Tianshi Chen Yunji Chen

Enabling Efficient Fast Convolution Algorithms on GPUs via MegaKernels.

Liancheng Jia Yun Liang Xiuhong Li Liqiang Lu Shengen Yan

Addressing Irregularity in Sparse Neural Networks Through a Cooperative Software/Hardware Approach.

Xi Zeng Tian Zhi Xuda Zhou Zidong Du Qi Guo Shaoli Liu Bingrui Wang Yuanbo Wen Chao Wang Xuehai Zhou Ling Li Tianshi Chen Ninghui Sun Yunji Chen

MViD: Sparse Matrix-Vector Multiplication in Mobile DRAM for Accelerating Recurrent Neural Networks.

Byeongho Kim Jongwook Chung Eojin Lee Wonkyung Jung Sunjung Lee Jaewan Choi Jaehyun Park Minbok Wi Sukhan Lee Jung Ho Ahn

CIMAT: A Compute-In-Memory Architecture for On-chip Training Based on Transpose SRAM Arrays.

Hongwu Jiang Xiaochen Peng Shanshi Huang Shimeng Yu

Crane: Mitigating Accelerator Under-utilization Caused by Sparsity Irregularities in CNNs.

Yijin Guan Guangyu Sun Zhihang Yuan Xingchen Li Ningyi Xu Shu Chen Jason Cong Yuan Xie

Guest Editors' Introduction to the Special Issue on Machine Learning Architectures and Accelerators.

Xuehai Qian Yanzhi Wang Avinash Karanth


Volume 69, Number 6, June 2020
A Fast Filtering Mechanism to Improve Efficiency of Large-Scale Video Analytics.

Chen Zhang Qiang Cao Hong Jiang Wenhui Zhang Jingjun Li Jie Yao

Algorithms for Inversion Mod psk.

Çetin Kaya Koç

An Adaptive Thermal Management Framework for Heterogeneous Multi-Core Processors.

Young Geun Kim Minyong Kim Joonho Kong Sung Woo Chung

A Deep Reinforcement Learning Based Offloading Game in Edge Computing.

Yufeng Zhan Song Guo Peng Li Jiang Zhang

AxMAP: Making Approximate Adders Aware of Input Patterns.

Morteza Rezaalipour Mohammad Rezaalipour Masoud Dehyadegari Mahdi Nazm Bojnordi

Accurate Cost Estimation of Memory Systems Utilizing Machine Learning and Solutions from Computer Vision for Design Automation.

Lorenzo Servadei Edoardo Mosca Elena Zennaro Keerthikumara Devarajegowda Michael Werner Wolfgang Ecker Robert Wille

Hierarchical Orchestration of Disaggregated Memory.

Wenqi Cao Ling Liu

Request Flow Coordination for Growing-Scale Solid-State Drives.

Ming-Chang Yang Yuan-Hao Chang Tei-Wei Kuo Chun-Feng Wu

XeFlow: Streamlining Inter-Processor Pipeline Execution for the Discrete CPU-GPU Platform.

Zhifang Li Beicheng Peng Chuliang Weng

Page Reusability-Based Cache Partitioning for Multi-Core Systems.

Jiwoong Park Heon Young Yeom Yongseok Son

Prune and Plant: Efficient Placement and Parallelism of Virtual Network Functions.

Wei Bao Dong Yuan Bing Bing Zhou Albert Y. Zomaya

Information Assurance Through Redundant Design: A Novel TNU Error-Resilient Latch for Harsh Radiation Environment.

Aibin Yan Yuanjie Hu Jie Cui Zhili Chen Zhengfeng Huang Tianming Ni Patrick Girard Xiaoqing Wen

Idler : I/O Workload Controlling for Better Responsiveness on Host-Aware Shingled Magnetic Recording Drives.

Baoquan Zhang Ming-Hong Yang Xuchao Xie David H. C. Du


Volume 69, Number 5, May 2020
A Neural Network Based Fault Management Scheme for Reliable Image Processing.

Matteo Biasielli Cristiana Bolchini Luca Cassano Erdem Koyuncu Antonio Miele

Automated Performance Modeling of HPC Applications Using Machine Learning.

Jingwei Sun Guangzhong Sun Shiyan Zhan Jiepeng Zhang Yong Chen

Crossbar-Constrained Technology Mapping for ReRAM Based In-Memory Computing.

Debjyoti Bhattacharjee Yaswanth Tavva Arvind Easwaran Anupam Chattopadhyay

Joint Management of CPU and NVDIMM for Breaking Down the Great Memory Wall.

Chun-Feng Wu Yuan-Hao Chang Ming-Chang Yang Tei-Wei Kuo

All-Digital Control-Theoretic Scheme to Optimize Energy Budget and Allocation in Multi-Cores.

Davide Zoni Luca Cremona William Fornaciari

Fast Encoding Algorithms for Reed-Solomon Codes With Between Four and Seven Parity Symbols.

Leilei Yu Zhichang Lin Sian-Jheng Lin Yunghsiang S. Han Nenghai Yu

Incremental Throughput Allocation of Heterogeneous Storage With No Disruptions in Dynamic Setting.

Zhisheng Huo Limin Xiao Minyi Guo Xiaoling Rong

CryptSQLite: SQLite With High Data Security.

Yongzhi Wang Yulong Shen Cuicui Su Jiawen Ma Lingtong Liu Xuewen Dong

A Modeling Framework for Reliability of Erasure Codes in SSD Arrays.

Mostafa Kishani Saba Ahmadian Hossein Asadi

Branch Prediction Attack on Blinded Scalar Multiplication.

Sarani Bhattacharya Clémentine Maurice Shivam Bhasin Debdeep Mukhopadhyay

Adaptive Model-Based Scheduling in Software Transactional Memory.

Pierangelo di Sanzo Alessandro Pellegrini Marco Sannicandro Bruno Ciciani Francesco Quaglia


Volume 69, Number 4, April 2020
Mangrove: An Inference-Based Dynamic Invariant Mining for GPU Architectures.

Nicola Bombieri Federico Busato Alessandro Danese Luca Piccolboni Graziano Pravadelli

PRS: A Pattern-Directed Replication Scheme for Heterogeneous Object-Based Storage.

Jiang Zhou Yong Chen Wei Xie Dong Dai Shuibing He Weiping Wang

Bufferless Network-on-Chips With Bridged Multiple Subnetworks for Deflection Reduction and Energy Savings.

Xi-Yue Xiang Purushottam Sigdel Nian-Feng Tzeng

Performance Analysis for Heterogeneous Cloud Servers Using Queueing Theory.

Shuang Wang Xiaoping Li Rubén Ruiz

A Management Scheme of Multi-Level Retention-Time Queues for Improving the Endurance of Flash-Memory Storage Devices.

David Kuang-Hui Yu Jen-Wei Hsieh

High Throughput/Gate AES Hardware Architectures Based on Datapath Compression.

Rei Ueno Naofumi Homma Sumio Morioka Noriyuki Miura Kohei Matsuda Makoto Nagata Shivam Bhasin Yves Mathieu Tarik Graba Jean-Luc Danger

NTTU: An Area-Efficient Low-Power NTT-Uncoupled Architecture for NTT-Based Multiplication.

Neng Zhang Qiao Qin Hang Yuan Chenggao Zhou Shouyi Yin Shaojun Wei Leibo Liu

Graph Similarity and its Applications to Hardware Security.

Marc Fyrbiak Sebastian Wallat Sascha Reinhard Nicolai Bissantz Christof Paar

Arithmetic Approaches for Rigorous Design of Reliable Fixed-Point LTI Filters.

Anastasia Volkova Thibault Hilaire Christoph Quirin Lauter

Exploiting Asymmetric Errors for LDPC Decoding Optimization on 3D NAND Flash Memory.

Qiao Li Liang Shi Yufei Cui Chun Jason Xue

Approximate Restoring Dividers Using Inexact Cells and Estimation From Partial Remainders.

Elizabeth Adams Suganthi Venkatachalam Seok-Bum Ko

State of the Journal.

Ahmed Louri


Volume 69, Number 3, March 2020
Energy Efficient On-Demand Dynamic Branch Prediction Models.

Milad Mohammadi Song Han Ehsan Atoofian Amirali Baniasadi Tor M. Aamodt William J. Dally

Grow and Prune Compact, Fast, and Accurate LSTMs.

Xiaoliang Dai Hongxu Yin Niraj K. Jha

Novel Methods for Efficient Realization of Logic Functions Using Switching Lattices.

Levent Aksoy Mustafa Altun

Pursuing Extreme Power Efficiency With PPCC Guided NoC DVFS.

Yuan Yao Zhonghai Lu

Design and Analysis of Efficient Maximum/Minimum Circuits for Stochastic Computing.

Michael Lunglmayr Daniel Wiesinger Werner Haselmayr

Energy-Efficient Pattern Recognition Hardware With Elementary Cellular Automata.

Alejandro Morán Christiam F. Frasser Miquel Roca Josep L. Rosselló

Hotness- and Lifetime-Aware Data Placement and Migration for High-Performance Deep Learning on Heterogeneous Memory Systems.

Myeonggyun Han Jihoon Hyun Seongbeom Park Woongki Baek

Impeccable Circuits.

Anita Aghaie Amir Moradi Shahram Rasoolzadeh Aein Rezaei Shahmirzadi Falk Schellenberg Tobias Schneider

ApGAN: Approximate GAN for Robust Low Energy Learning From Imprecise Components.

Arman Roohi Shadi Sheikhfaal Shaahin Angizi Deliang Fan Ronald F. DeMara

Towards the Integration of Reverse Converters into the RNS Channels.

Leonel Sousa Rogerio Paludo Paulo Martins Héctor Pettenghi

Lightweight Key Encapsulation Using LDPC Codes on FPGAs.

Jingwei Hu Marco Baldi Paolo Santini Neng Zeng San Ling Huaxiong Wang

REMOTE: Robust External Malware Detection Framework by Using Electromagnetic Signals.

Nader Sehatbakhsh Alireza Nazari Monjur Alam Frank Werner Yuanda Zhu Alenka G. Zajic Milos Prvulovic


Volume 69, Number 2, February 2020
Comparing Neural Network Based Decoders for the Surface Code.

Savvas Varsamopoulos Koen Bertels Carmen García Almudéver

NV-Journaling: Locality-Aware Journaling Using Byte-Addressable Non-Volatile Memory.

Cheng Chen Qingsong Wei Weng-Fai Wong Chundong Wang

Low Latency Floating-Point Division and Square Root Unit.

Javier D. Bruguera

WAL-SSD: Address Remapping-Based Write-Ahead-Logging Solid-State Disks.

Kyuhwa Han Hyukjoong Kim Dongkun Shin

A New Class of Single Burst Error Correcting Codes with Parallel Decoding.

Abhishek Das Nur A. Touba

Adaptive-Length Coding of Image Data for Low-Cost Approximate Storage.

Qianqian Fan David J. Lilja Sachin S. Sapatnekar

Secure and Efficient Control Data Isolation with Register-Based Data Cloaking.

Xiayang Wang Fuqian Huang Haibo Chen

Optimizing Parallel I/O Accesses through Pattern-Directed and Layout-Aware Replication.

Shuibing He Yanlong Yin Xian-He Sun Xuechen Zhang Zongpeng Li

Optimal Metastability-Containing Sorting via Parallel Prefix Computation.

Johannes Bund Christoph Lenzen Moti Medina

Collaborative Adaptation for Energy-Efficient Heterogeneous Mobile SoCs.

Amit Kumar Singh Basireddy Karunakar Reddy Alok Prakash Geoff V. Merrett Bashir M. Al-Hashimi

Footprint-Based DIMM Hotplug.

Shinobu Miwa Masaya Ishihara Hayato Yamaki Hiroki Honda Martin Schulz

Per-Operation Reusability Based Allocation and Migration Policy for Hybrid Cache.

Minsik Oh Kwangsu Kim Duheon Choi Hyukjun Lee Eui-Young Chung


Volume 69, Number 1, January 2020
Fast and Efficient Convolutional Accelerator for Edge Computing.

Arash Ardakani Carlo Condo Warren J. Gross

FACCT: FAst, Compact, and Constant-Time Discrete Gaussian Sampler over Integers.

Raymond K. Zhao Ron Steinfeld Amin Sakzad

Scrabble: A Fine-Grained Cache with Adaptive Merged Block.

Chao Zhang Yuan Zeng Xiaochen Guo

Signal Strength-Aware Adaptive Offloading with Local Image Preprocessing for Energy Efficient Mobile Devices.

Young Geun Kim Young Seo Lee Sung Woo Chung

A Novel Sequence Generation Approach to Diagnose Faults in Reconfigurable Scan Networks.

Riccardo Cantoro Aleksa Damljanovic Matteo Sonza Reorda Giovanni Squillero

Maximizing I/O Throughput and Minimizing Performance Variation via Reinforcement Learning Based I/O Merging for SSDs.

Chao Wu Cheng Ji Qiao Li Congming Gao Riwei Pan Chenchen Fu Liang Shi Chun Jason Xue

KnightSim: A Fast Discrete Event-Driven Simulation Methodology for Computer Architectural Simulation.

Christopher E. Giles Christina L. Peterson Mark A. Heinrich

TTADF: Power Efficient Dataflow-Based Multicore Co-Design Flow.

Ilkka Hautala Jani Boutellier Olli Silvén

Utilization-Tensity Bound for Real-Time DAG Tasks under Global EDF Scheduling.

Xu Jiang Jinghao Sun Yue Tang Nan Guan

New Flexible Multiple-Precision Multiply-Accumulate Unit for Deep Neural Network Training and Inference.

Hao Zhang Dongdong Chen Seok-Bum Ko

Lightweight Power Monitoring Framework for Virtualized Computing Environments.

James Phung Young Choon Lee Albert Y. Zomaya

Power- and Cache-Aware Task Mapping with Dynamic Power Budgeting for Many-Cores.

Martin Rapp Mark Sagi Anuj Pathania Andreas Herkersdorf Jörg Henkel