Volume 70, Number 12, December 2021
Affinity-Aware VNF Placement in Mobile Edge Clouds via Leveraging GPUs.

Zichuan Xu Zhiheng Zhang John C. S. Lui Weifa Liang Qiufen Xia Pan Zhou Wenzheng Xu Guowei Wu

Genome Sequence Alignment - Design Space Exploration for Optimal Performance and Energy Architectures.

Yasir Mahmood Qureshi Jose Manuel Herruzo Marina Zapater Katzalin Olcoz Sonia Gonzalez-Navarro Oscar G. Plata David Atienza

Efficient and Scalable External Sort Framework for NVMe SSD.

Kihyeon Myung Sunggon Kim Heon Young Yeom Jiwoong Park

ROCKY: A Robust Hybrid On-Chip Memory Kit for the Processors With STT-MRAM Cache Technology.

Mahdi Talebi Arash Salahvarzi Amir Mahdi Hosseini Monazzah Kevin Skadron Mahdi Fazeli

Coordinative Scheduling of Computation and Communication in Data-Parallel Systems.

Dongsheng Li Zhiyao Hu Zhiquan Lai Yiming Zhang Kai Lu

Task Splitting and Load Balancing of Dynamic Real-Time Workloads for Semi-Partitioned EDF.

Daniel Casini Alessandro Biondi Giorgio Carlo Buttazzo

Differential Fault Attack on Kreyvium & FLIP.

Dibyendu Roy Bhagwan N. Bathe Subhamoy Maitra

${\sf FORESEE}$FORESEE: An Effective and Efficient Framework for Estimating the Execution Times of IO Traces on the SSD.

Yoonsuk Kang Yong-Yeon Jo Jaehyuk Cha Wan D. Bae Wonjun Lee Sang-Wook Kim

Credit Risk Analysis Using Quantum Computers.

Daniel J. Egger Ricardo García Gutiérrez Jordi Cahué Mestre Stefan Woerner

Circuit-Based Quantum Random Access Memory for Classical Data With Continuous Amplitudes.

Tiago Mendonça Lucena de Veras Ismael C. S. de Araujo Daniel K. Park Adenilton J. da Silva

A Throughput-Oriented NVMe Storage Virtualization With Workload-Aware Management.

Bo Peng Ming Yang Jianguo Yao Haibing Guan

Designing Predictable Cache Coherence Protocols for Multi-Core Real-Time Systems.

Anirudh Mohan Kaushik Mohamed Hassan Hiren D. Patel

Enhancing Proportional IO Sharing on Containerized Big Data File Systems.

Dan Huang Jun Wang Qing Liu Nong Xiao Huafeng Wu Jiangling Yin

Fast Resource and Timing Aware Design Optimisation for High-Level Synthesis.

André B. Perina Arthur Silitonga Jürgen Becker Vanderlei Bonato

Analytical Model for Memory-Centric High Level Synthesis-Generated Applications.

Maria Angélica Dávila-Guzmán Ruben Gran Tejero María Villarroya-Gaudó Darío Suárez Gracia

Enhancing High-Level Synthesis Using a Meta-Programming Approach.

Jessica Vandebon José Gabriel de Figueiredo Coutinho Wayne Luk Eriko Nurvitadhi

OmpSs@FPGA Framework for High Performance FPGA Computing.

Juan Miguel De Haro Ruiz Jaume Bosch Antonio Filgueras Miquel Vidal Daniel Jiménez-González Carlos Álvarez Xavier Martorell Eduard Ayguadé Jesús Labarta

PyLog: An Algorithm-Centric Python-Based FPGA Programming and Synthesis Flow.

Sitao Huang Kun Wu Hyunmin Jeong Chengyue Wang Deming Chen Wen-Mei Hwu

Guest Editorial: IEEE TC Special Section on Compiler Optimizations for FPGA-Based Systems.

João M. P. Cardoso André DeHon Laura Pozzi


Volume 70, Number 11, November 2021
LPC: An Error Correction Code for Mitigating Faults in 3D Memories.

David C. C. Freitas David F. M. Mota César A. M. Marcon Jarbas A. N. Silveira João Cesar M. Mota

Spatio-Temporal Optimization of Deep Neural Networks for Reconfigurable FPGA SoCs.

Biruk B. Seyoum Marco Pagani Alessandro Biondi Sara Balleri Giorgio C. Buttazzo

Revealing DRAM Operating GuardBands Through Workload-Aware Error Predictive Modeling.

Lev Mukhanov Konstantinos Tovletoglou Hans Vandierendonck Dimitrios S. Nikolopoulos Georgios Karakonstantis

A Voting Approach for Adaptive Network-on-Chip Power-Gating.

Jiayi Huang Shilpa Bhosekar Rahul Boyapati Ningyuan Wang Byul Hur Ki Hwan Yum Eun Jung Kim

Probabilistic Value-Deviation-Bounded Source-Dependent Bit-Level Channel Adaptation for Approximate Communication.

Bilgesu Arif Bilgin Phillip Stanley-Marbell

Efficient Out-of-Core and Out-of-Place Rectangular Matrix Transposition and Rotation.

Paul Godard Vincent Loechner Cédric Bastoul

Remote Control: A Simple Deadlock Avoidance Scheme for Modular Systems-on-Chip.

Pritam Majumder Sungkeun Kim Jiayi Huang Ki Hwan Yum Eun Jung Kim

Improving the Performance of Block-based DRAM Caches Via Tag-Data Decoupling.

Fazal Hameed Asif Ali Khan Jerónimo Castrillón

Precise Worst-Case Blocking Time of Tasks Under Priority Inheritance Protocol.

Eugenio Faldella Daniela Loreti

The Nebula Benchmark Suite: Implications of Lightweight Neural Networks.

Bogil Kim Sungjae Lee Chanho Park Hyeonjin Kim William J. Song

Extending Performance-Energy Trade-offs Via Dynamic Core Scaling.

Wei Zhang Hang Zhang John C. Lach

Cluster-Aware Scattered Repair in Erasure-Coded Storage: Design and Analysis.

Zhirong Shen Shiyao Lin Jiwu Shu Chengxin Xie Zhijie Huang Yingxun Fu

Snitch: A Tiny Pseudo Dual-Issue Processor for Area and Energy Efficient Execution of Floating-Point Intensive Workloads.

Florian Zaruba Fabian Schuiki Torsten Hoefler Luca Benini

OurRocks: Offloading Disk Scan Directly to GPU in Write-Optimized Database System.

Won Gi Choi Doyoung Kim Hongchan Roh Sanghyun Park

On Performance Optimization and Quality Control for Approximate-Communication-Enabled Networks-on-Chip.

Siyuan Xiao Xiaohang Wang Maurizio Palesi Amit Kumar Singh Liang Wang Terrence S. T. Mak

MIPSGPU: Minimizing Pipeline Stalls for GPUs With Non-Blocking Execution.

Chao Yu Yuebin Bai Rui Wang

Secure Lightweight Key Exchange Using ECC for User-Gateway Paradigm.

Chintan Patel Nishant Doshi

Qubit Mapping Based on Subgraph Isomorphism and Filtered Depth-Limited Search.

Sanjiang Li Xiangzhen Zhou Yuan Feng


Volume 70, Number 10, October 2021
SSD-Assisted Ransomware Detection and Data Recovery Techniques.

SungHa Baek Youngdon Jung David Mohaisen Sungjin Lee DaeHun Nyang

The HPC-DAG Task Model for Heterogeneous Real-Time Systems.

Houssam-Eddine Zahaf Nicola Capodieci Roberto Cavicchioli Giuseppe Lipari Marko Bertogna

Novel $GF\left(2^{m}\right)$GF2m Digit-Serial PISO Multipliers for the Self-Dual Gaussian Normal Bases.

Hayssam El-Razouk Kirthi Kotha Mahidhar Puligunta

A Novel Measurement for Network Reliability.

Limei Lin Yanze Huang Dajin Wang Sun-Yuan Hsieh Li Xu

Supersingular Isogeny Key Encapsulation (SIKE) Round 2 on ARM Cortex-M4.

Hwajeong Seo Mila Anastasova Amir Jalali Reza Azarderakhsh

Neural Network-Based Performance Prediction for Task Migration on S-NUCA Many-Cores.

Martin Rapp Anuj Pathania Tulika Mitra Jörg Henkel

Hardware Private Circuits: From Trivial Composition to Full Verification.

Gaëtan Cassiers Benjamin Grégoire Itamar Levi François-Xavier Standaert

A Change-Detection-Based Thompson Sampling Framework for Non-Stationary Bandits.

Gourab Ghatak

Evaluation of Optimized CNNs on Heterogeneous Accelerators Using a Novel Benchmarking Approach.

Michaela Blott Nicholas J. Fraser Giulio Gambardella Lisa Halder Johannes Kath Zachary Neveu Yaman Umuroglu Alina Vasilciuc Miriam Leeser Linda Doyle

Virtual Wall: Filtering Rootkit Attacks To Protect Linux Kernel Functions.

Yong-Gang Li Yeh-Ching Chung Kai Hwang Yue-Jin Li

CRIME: Input-Dependent Collaborative Inference for Recurrent Neural Networks.

Daniele Jahier Pagliari Roberta Chiaro Enrico Macii Massimo Poncino

Practical and Secure SVM Classification for Cloud-Based Remote Clinical Decision Services.

Jinwen Liang Zheng Qin Jianbing Ni Xiaodong Lin Xuemin Shen

Enclavisor: A Hardware-Software Co-Design for Enclaves on Untrusted Cloud.

Jinyu Gu Xinyue Wu Bojun Zhu Yubin Xia Binyu Zang Haibing Guan Haibo Chen

PStream: A Popularity-Aware Differentiated Distributed Stream Processing System.

Hanhua Chen Fan Zhang Hai Jin

Guardauto: A Decentralized Runtime Protection System for Autonomous Driving.

Kun Cheng Yuan Zhou Bihuan Chen Rui Wang Yuebin Bai Yang Liu

A Fast Lock for Explicit Message Passing Architectures.

Xiongchao Tang Chen Zhang Jidong Zhai Xuehai Qian Wenguang Chen Yong Jiang

Runtime Performance Optimization of 3-D Microprocessors in Dark Silicon.

Hai Wang Wei Li Wenjie Qi Diya Tang Letian Huang He Tang


Volume 70, Number 9, September 2021
DMRlib: Easy-Coding and Efficient Resource Management for Job Malleability.

Sergio Iserte Rafael Mayo Enrique S. Quintana-Ortí Antonio J. Peña

Modeling Data Reuse in Deep Neural Networks by Taking Data-Types into Cognizance.

Nandan Kumar Jha Sparsh Mittal

EnGN: A High-Throughput and Energy-Efficient Accelerator for Large Graph Neural Networks.

Shengwen Liang Ying Wang Cheng Liu Lei He Huawei Li Dawen Xu Xiaowei Li

TSE: Two-Step Elimination for MLC STT-RAM Last-Level Cache.

Jen-Wei Hsieh Yi-Yu Liu Hung-Tse Lee Tai Chang

Power-Efficient Heterogeneous Many-Core Design With NCFET Technology.

Sami Salamin Martin Rapp Anuj Pathania Arka Maity Jörg Henkel Tulika Mitra Hussam Amrouch

An Adaptive CPU-GPU Governing Framework for Mobile Games on big.LITTLE Architectures.

Xianfeng Li Gengchao Li

Optimizing the Response Time of Memcached Systems via Model and Quantitative Analysis.

Wenxue Cheng Fengyuan Ren Wanchun Jiang Tong Zhang

LrGAN: A Compact and Energy Efficient PIM-Based Architecture for GAN Training.

Haiyu Mao Jiwu Shu Mingcong Song Tao Li

Hardware Acceleration of Hash Operations in Modern Microprocessors.

Abbas A. Fairouz Monther Abusultan Viacheslav V. Fedorov Sunil P. Khatri

A Hybrid Quantum-Classical Approach to Mitigating Measurement Errors in Quantum Algorithms.

Hyeokjea Kwon Joonwoo Bae

Reliability Enhanced Heterogeneous Phase Change Memory Architecture for Performance and Energy Efficiency.

Taehyun Kwon Muhammad Imran Joon-Sung Yang

Detecting the Capacitance-Based Gamepad for Protecting Mobile Game Fairness.

Shilei Bai Bin Liang Jianjun Huang Wei You Jiachun Li Yaping Li Wenchang Shi

Optimality Study of Existing Quantum Computing Layout Synthesis Tools.

Bochen Tan Jason Cong

Zweilous: A Decoupled and Flexible Memory Management Framework.

Guoxi Li Wenzhi Chen Yang Xiang

Harnessing CPU Electromagnetic Emanations for Resonance-Induced Voltage-Noise Characterization.

Zacharias Hadjilambrou Shidhartha Das Marco A. Antoniades Yiannakis Sazeides

Truth Discovery With Multi-Modal Data in Social Sensing.

Huajie Shao Dachun Sun Shuochao Yao Lu Su Zhibo Wang Dongxin Liu Shengzhong Liu Lance M. Kaplan Tarek F. Abdelzaher

A CASTLE With TOWERs for Reliable, Secure Phase-Change Memory.

Stephen Longofono Donald Kline Jr. Rami G. Melhem Alex K. Jones


Volume 70, Number 8, August 2021
Ameliorate Performance of Memristor-Based ANNs in Edge Computing.

Zhiheng Liao Jingyan Fu Jinhui Wang

EIHDP: Edge-Intelligent Hierarchical Dynamic Pricing Based on Cloud-Edge-Client Collaboration for IoT Systems.

Tian Wang Yucheng Lu Jianhuang Wang Hong-Ning Dai Xi Zheng Weijia Jia

MulTa-HDC: A Multi-Task Learning Framework For Hyperdimensional Computing.

Cheng-Yang Chang Yu-Chuan Chuang En-Jui Chang An-Yeu Andy Wu

DORY: Automatic End-to-End Deployment of Real-World DNNs on Low-Cost IoT MCUs.

Alessio Burrello Angelo Garofalo Nazareno Bruschi Giuseppe Tagliavini Davide Rossi Francesco Conti

Distributed Deep Convolutional Neural Networks for the Internet-of-Things.

Simone Disabato Manuel Roveri Cesare Alippi

AILC: Accelerate On-Chip Incremental Learning With Compute-in-Memory Technology.

Yandong Luo Shimeng Yu

Design and Simulation of a Hybrid Architecture for Edge Computing in 5G and Beyond.

Hamed Rahimi Yvan Picaud Kamal Deep Singh Giyyarpuram Madhusudan Salvatore Costanzo Olivier Boissier

E2CNNs: Ensembles of Convolutional Neural Networks to Improve Robustness Against Memory Errors in Edge-Computing Devices.

Flavio Ponzina Miguel Peón Quirós Andreas Burg David Atienza

Minimal Complexity Machines Under Weight Quantization.

Mayank Sharma Sumit Soman Jayadeva

Real-Time Detection of Hogweed: UAV Platform Empowered by Deep Learning.

Alexander Menshchikov Dmitrii Shadrin Viktor Prutyanov Daniil Lopatkin Sergey Sosnin Evgeny V. Tsykunov Evgeny Iakovlev Andrey Somov

ZigZag: Enlarging Joint Architecture-Mapping Design Space Exploration for DNN Accelerators.

Linyan Mei Pouya Houshmand Vikram Jain Juan Sebastian P. Giraldo Marian Verhelst

Task Mapping and Scheduling for OpenVX Applications on Heterogeneous Multi/Many-Core Architectures.

Francesco Lumpp Stefano Aldegheri Hiren D. Patel Nicola Bombieri

Guest Editorial: IEEE TC Special Issue On Smart Edge Computing and IoT.

Luca Benini Simone Benatti Taekwang Jang Abbas Rahimi


Volume 70, Number 7, July 2021
CID: Co-Architecting Instruction Cache and Decompression System for Embedded Systems.

Jinkwon Kim Seokin Hong Jeongkyu Hong Soontae Kim

Leakage-Free Dissemination of Authenticated Tree-Structured Data With Multi-Party Control.

Jianghua Liu Jingyu Hou Wenjie Yang Yang Xiang Wanlei Zhou Wei Wu Xinyi Huang

Longevity Framework: Leveraging Online Integrated Aging-Aware Hierarchical Mapping and VF-Selection for Lifetime Reliability Optimization in Manycore Processors.

Vijeta Rathore Vivek Chaturvedi Amit Kumar Singh Thambipillai Srikanthan Muhammad Shafique

Stateful DRF: Considering the Past in a Multi-Resource Allocation.

Hugo Sadok Miguel Elias M. Campista Luís Henrique M. K. Costa

XMeter: Finding Approximable Functions and Predicting Their Accuracy.

Riad Akram Shantanu Mandal Abdullah Muzahid

Digit Stability Inference for Iterative Methods Using Redundant Number Representation.

He Li Ian McInerney James J. Davis George A. Constantinides

Control Performance Optimization for Application Integration on Automotive Architectures.

Anna Minaeva Debayan Roy Benny Akesson Zdenek Hanzálek Samarjit Chakraborty

Emulating Round-to-Nearest Ties-to-Zero "Augmented" Floating-Point Operations Using Round-to-Nearest Ties-to-Even Arithmetic.

Sylvie Boldo Christoph Quirin Lauter Jean-Michel Muller

Contour: A Process Variation Aware Wear-Leveling Mechanism for Inodes of Persistent Memory File Systems.

Xianzhang Chen Edwin H.-M. Sha Xinxin Wang Chaoshu Yang Weiwen Jiang Qingfeng Zhuge

High-Performance Constant-Time Discrete Gaussian Sampling.

Liang Kong Shuguo Li Ruirui Liu

Priority Assignment on Partitioned Multiprocessor Systems With Shared Resources.

Shuai Zhao Wanli Chang Ran Wei Weichen Liu Nan Guan Alan Burns Andy J. Wellings

Accelerating Parallel Applications in Cloud Platforms via Adaptive Time-Slice Control.

Hao Fan Song Wu Xinyu Zhao Zhenjiang Xie Sheng Di Jiang Xiao Chen Yu Hai Jin

Scalable Concolic Testing of RTL Models.

Yangdi Lyu Prabhat Mishra

Exploiting Security Dependence for Conditional Speculation Against Spectre Attacks.

Lutan Zhao Peinan Li Rui Hou Michael C. Huang Peng Liu Lixin Zhang Dan Meng


Volume 70, Number 6, June 2021
Plasticity-on-Chip Design: Exploiting Self-Similarity for Data Communications.

Yao Xiao Shahin Nazarian Paul Bogdan

Optimizing Vertex Pressure Dynamic Graph Partitioning in Many-Core Systems.

Andrew McCrabb Valeria Bertacco

Efficient Pipelined Execution of CNNs Based on In-Memory Computing and Graph Homomorphism Verification.

Martino Dazzi Abu Sebastian Thomas P. Parnell Pier Andrea Francese Luca Benini Evangelos Eleftheriou

Computing En-Route for Near-Data Processing.

Jiayi Huang Pritam Majumder Sungkeun Kim Troy Fulton Ramprakash Reddy Puli Ki Hwan Yum Eun Jung Kim

Opportunistic Caching in NoC: Exploring Ways to Reduce Miss Penalty.

Abhijit Das Abhishek Kumar John Jose Maurizio Palesi

PIT: Processing-In-Transmission With Fine-Grained Data Manipulation Networks.

Pengchen Zong Tian Xia Haoran Zhao Jianming Tong Zehua Li Wenzhe Zhao Nanning Zheng Pengju Ren

A New Optoelectronic Hybrid Network Based on Scheduling Optimization of Optical Links.

En Shao Guangming Tan Zhan Wang Guojun Yuan Zheng Cao Ninghui Sun

OPTWEB: A Lightweight Fully Connected Inter-FPGA Network for Efficient Collectives.

Kenji Mizutani Hiroshi Yamaguchi Yutaka Urino Michihiro Koibuchi

HAM: Hotspot-Aware Manager for Improving Communications With 3D-Stacked Memory.

Xi Wang Antonino Tumeo John D. Leidel Jie Li Yong Chen

S-SMART++: A Low-Latency NoC Leveraging Speculative Bypass Requests.

Ivan Perez Enrique Vallejo Ramón Beivide

Guest Editorial: IEEE TC Special Issue On Communications for Many-core Processors and Accelerators.

Zhonghai Lu


Volume 70, Number 5, May 2021
DVFS-Based Quality Maximization for Adaptive Applications With Diminishing Return.

Heng Yu Yajun Ha Bharadwaj Veeravalli Fupeng Chen Hesham El-Sayed

BaPa: A Novel Approach of Improving Load Balance in Parallel Matrix Factorization for Recommender Systems.

Ruixin Guo Feng Zhang Lizhe Wang Wusheng Zhang Xinya Lei Rajiv Ranjan Albert Y. Zomaya

Efficient Repair Analysis Algorithm Exploration for Memory With Redundancy and In-Memory ECC.

Minjie Lv Hongbin Sun Jingmin Xin Nanning Zheng

MUSE: A Multi-Tierd and SLA-Driven Deduplication Framework for Cloud Storage Systems.

Jianwei Yin Yan Tang Shuiguang Deng Bangpeng Zheng Albert Y. Zomaya

Detection of SLA Violation for Big Data Analytics Applications in Cloud.

Xuezhi Zeng Saurabh Garg Mutaz Barika Sanat Kumar Bista Deepak Puthal Albert Y. Zomaya Rajiv Ranjan

Random CFI (RCFI): Efficient Fine-Grained Control-Flow Integrity Through Random Verification.

Moon Chan Park Dong Hoon Lee

An FPGA Based Accelerator for Clustering Algorithms With Custom Instructions.

Chao Wang Lei Gong Fahui Jia Xuehai Zhou

VISE: Combining Intel SGX and Homomorphic Encryption for Cloud Industrial Control Systems.

Luigi Coppolino Salvatore D'Antonio Valerio Formicola Giovanni Mazzeo Luigi Romano

VecQ: Minimal Loss DNN Model Compression With Vectorized Weight Quantization.

Cheng Gong Yao Chen Ye Lu Tao Li Cong Hao Deming Chen

COUNTDOWN: A Run-Time Library for Performance-Neutral Energy Saving in MPI Applications.

Daniele Cesarini Andrea Bartolini Pietro Bonfà Carlo Cavazzoni Luca Benini

GenoDedup: Similarity-Based Deduplication and Delta-Encoding for Genome Sequencing Data.

Vinicius Vielmo Cogo João Paulo Alysson Bessani

SAFA: A Semi-Asynchronous Protocol for Fast Federated Learning With Low Overhead.

Wentai Wu Ligang He Weiwei Lin Rui Mao Carsten Maple Stephen A. Jarvis


Volume 70, Number 4, April 2021
ECC-United Cache: Maximizing Efficiency of Error Detection/Correction Codes in Associative Cache Memories.

Hamed Farbeh Leila Delshadtehrani Hyeonggyu Kim Soontae Kim

An Energy-Aware High Performance Task Allocation Strategy in Heterogeneous Fog Computing Environments.

Keke Gai Xiao Qin Liehuang Zhu

An Improved Logarithmic Multiplier for Energy-Efficient Neural Computing.

Mohammad Saeed Ansari Bruce F. Cockburn Jie Han

ECDR$^{2}$2: Error Corrector and Detector Relocation Router for Network-on-Chip.

Letian Huang Chikun Yuan Junshi Wang Masoumeh Ebrahimi Xuan Xie Qiang Li

Device-Circuit-Architecture Co-Exploration for Computing-in-Memory Neural Accelerators.

Weiwen Jiang Qiuwen Lou Zheyu Yan Lei Yang Jingtong Hu Xiaobo Sharon Hu Yiyu Shi

Learning-Based Modeling and Optimization for Real-Time System Availability.

Liying Li Junlong Zhou Tongquan Wei Mingsong Chen Xiaobo Sharon Hu

Improving Write Performance on Cross-Point RRAM Arrays by Leveraging Multidimensional Non-Uniformity of Cell Effective Voltage.

Chengning Wang Dan Feng Wei Tong Jingning Liu Bing Wu Wei Zhao Yang Zhang Yiran Chen

TurboDL: Improving the CNN Training on GPU With Fine-Grained Multi-Streaming Scheduling.

Hai Jin Wenchao Wu Xuanhua Shi Ligang He Bing Bing Zhou

Amnesiac DRAM: A Proactive Defense Mechanism Against Cold Boot Attacks.

Hoseok Seol Minhye Kim Taesoo Kim Yongdae Kim Lee-Sup Kim

Efficiently Solving Partial Differential Equations in a Partially Reconfigurable Specialized Hardware.

Bahar Asgari Ramyad Hadidi Tushar Krishna Hyesoon Kim Sudhakar Yalamanchili

Leaking Information Through Cache LRU States in Commercial Processors and Secure Caches.

Wenjie Xiong Stefan Katzenbeisser Jakub Szefer

Enabling Highly Efficient Capsule Networks Processing Through Software-Hardware Co-Design.

Xingyao Zhang Xin Fu Donglin Zhuang Chenhao Xie Shuaiwen Leon Song


Volume 70, Number 3, March 2021
Compiler-Assisted Data Streaming for Regular Code Structures.

Nuno Neves Pedro Tomás Nuno Roma

DAG-Fluid: A Real-Time Scheduling Algorithm for DAGs.

Fei Guan Jiaqing Qiao Yu Han

Generalized Mixed-Criticality Static Scheduling for Periodic Directed Acyclic Graphs on Multi-Core Processors.

Roberto Medina Etienne Borde Laurent Pautet

SECRET: Semantically Enhanced Classification of Real-World Tasks.

Ayten Ozge Akmandor Jorge Ortiz Irene Manotas Bongjun Ko Niraj K. Jha

On Minimizing Internal Data Migrations of Flash Devices via Lifetime-Retention Harmonization.

Ming-Chang Yang Chun-Feng Wu Shuo-Han Chen Yi-Ling Lin Che-Wei Chang Yuan-Hao Chang

NOSTalgy: Near-Optimum Run-Time STT-MRAM Quality-Energy Knob Management for Approximate Computing Applications.

Arash Salahvarzi Amir Mahdi Hosseini Monazzah Mahdi Fazeli Kevin Skadron

Specification-Driven Conformance Checking for Virtual/Silicon Devices Using Mutation Testing.

Haifeng Gu Jianning Zhang Mingsong Chen Tongquan Wei Li Lei Fei Xie

Schnorr-Based Implicit Certification: Improving the Security and Efficiency of Vehicular Communications.

Paulo S. L. M. Barreto Marcos A. Simplício Jr. Jefferson E. Ricardini Harsh Kupwade Patil

Area-Optimized Accurate and Approximate Softcore Signed Multiplier Architectures.

Salim Ullah Hendrik Schmidl Siva Satyendra Sahoo Semeen Rehman Akash Kumar

Real-Time Schedulability Analysis and Enhancement of Transiently Powered Processors With NVMs.

Dasom Lee Hyeonseok Jung Hoeseok Yang

Fast and Predictable Non-Volatile Data Memory for Real-Time Embedded Systems.

Mostafa Bazzaz Ali Hoseinghorban Alireza Ejlali

TrackLace: Data Management for Interlaced Magnetic Recording.

Fenggang Wu Bingzhe Li Baoquan Zhang Zhichao Cao Jim Diehl Hao Wen David H. C. Du

Idempotence-Based Preemptive GPU Kernel Scheduling for Embedded Systems.

Hyeonsu Lee Hyunjun Kim Cheolgi Kim Hwansoo Han Euiseong Seo

A Reduced Architecture for ReRAM-Based Neural Network Accelerator and Its Software Stack.

Yu Ji Zixin Liu Youhui Zhang


Volume 70, Number 2, February 2021
Modularized Morphing of Deep Convolutional Neural Networks: A Graph Approach.

Tao Wei Changhu Wang Chang Wen Chen

Tiler: An Autonomous Region-Based Scheme for SMR Storage.

Chenlin Ma Zhaoyan Shen Jihe Wang Yi Wang Renhai Chen Yong Guan Zili Shao

Soft Error Tolerant Count Min Sketches.

Pedro Reviriego Jorge Martínez Marco Ottavi

Enforcing Predictability of Many-Cores With DCFNoC.

Tomás Picornell José Flich Carles Hernández José Duato

Exploiting Buffered Updates for Fast Streaming Graph Analysis.

Feng Sheng Qiang Cao Jie Yao

A Case for Application-Managed Flash.

Jinhyung Koo Chanwoo Chung Arvind Sungjin Lee

A3C-DO: A Regional Resource Scheduling Framework Based on Deep Reinforcement Learning in Edge Scenario.

Junfeng Zou Tongbo Hao Chen Yu Hai Jin

Stream Semantic Registers: A Lightweight RISC-V ISA Extension Achieving Full Compute Utilization in Single-Issue Cores.

Fabian Schuiki Florian Zaruba Torsten Hoefler Luca Benini

On the Analysis of Parallel Real-Time Tasks With Spin Locks.

Xu Jiang Nan Guan He Du Weichen Liu Yi Wang

Predicting the Health Degree of Hard Disk Drives With Asymmetric and Ordinal Deep Neural Models.

Fernando Dione S. Lima Francisco Lucas Falcao Pereira Iago C. Chaves Javam C. Machado João Paulo Pordeus Gomes

Evaluations on Deep Neural Networks Training Using Posit Number System.

Jinming Lu Chao Fang Mingyang Xu Jun Lin Zhongfeng Wang

PermCNN: Energy-Efficient Convolutional Neural Network Hardware Architecture With Permuted Diagonal Structure.

Chunhua Deng Siyu Liao Bo Yuan


Volume 70, Number 1, January 2021
LFSR-Based Bit-Serial GF(2m) Multipliers Using Irreducible Trinomials.

José Luis Imaña

Falcon: Addressing Stragglers in Heterogeneous Parameter Server Via Multiple Parallelism.

Qihua Zhou Song Guo Haodong Lu Li Li Minyi Guo Yanfei Sun Kun Wang

Karnaugh Map Method for Memristive and Spintronic Asymmetric Basis Logic Functions.

Vaibhav Vyas Lucian Jiang-Wei Peng Zhou Xuan Hu Joseph S. Friedman

3-D Partitioning for Large-Scale Graph Processing.

Xue Li Mingxing Zhang Kang Chen Yongwei Wu Xuehai Qian Weimin Zheng

Read-Ahead Efficiency on Mobile Devices: Observation, Characterization, and Optimization.

Yu Liang Riwei Pan Yajuan Du Chenchen Fu Liang Shi Tei-Wei Kuo Chun Jason Xue

Multi-Target Adaptive Reconfigurable Acceleration for Low-Power IoT Processing.

Marcelo Brandalero Luigi Carro Antonio Carlos Schneider Beck Muhammad Shafique

Fairness-Aware Energy Efficient Scheduling on Heterogeneous Multi-Core Processors.

Bagher Salami Hamid Noori Mahmoud Naghibzadeh

Algorithms for Computing the WCRT Bound of OpenMP Task Systems With Conditional Branches.

Jinghao Sun Nan Guan Jingchang Sun Xi Zhang Yaoyao Chi Feng Li

Software-Defined Design Space Exploration for an Efficient DNN Accelerator Architecture.

Ye Yu Yingmin Li Shuai Che Niraj K. Jha Weifeng Zhang

Practical Resilience Analysis of GPGPU Applications in the Presence of Single- and Multi-Bit Faults.

Lishan Yang Bin Nie Adwait Jog Evgenia Smirni

HePREM: A Predictable Execution Model for GPU-based Heterogeneous SoCs.

Björn Forsberg Luca Benini Andrea Marongiu

Intelligent Adaptation of Hardware Knobs for Improving Performance and Power Consumption.

Cristobal Ortega Lluc Alvarez Marc Casas Ramon Bertran Alper Buyuktosunoglu Alexandre E. Eichenberger Pradip Bose Miquel Moretó