Volume 41, Number 4, April 2022
Memristor Modeling Using the Modified Nodal Analysis Approach.

Theresa Kahale Dani Tannir

Fast Energy-Optimal Multikernel DNN-Like Application Allocation on Multi-FPGA Platforms.

Junnan Shan Mihai T. Lazarescu Jordi Cortadella Luciano Lavagno Mario R. Casu

Through the Looking Glass: Automated Design Understanding of SystemC-Based VPs at the ESL.

Mehran Goli Rolf Drechsler

ForASec: Formal Analysis of Hardware Trojan-Based Security Vulnerabilities in Sequential Circuits.

Faiq Khalid Imran Hafeez Abbassi Semeen Rehman Awais Mehmood Kamboh Osman Hasan Muhammad Shafique

A Novel Algorithm for Hardware Trojan Detection Through Reverse Engineering.

Sreeja Rajendran Mary Lourde Regeena

Herringbone-Based TSV Architecture for Clustered Fault Repair and Aging Recovery.

Sangmin Park Minho Cheong Donghyun Han Sungho Kang

Static Test Compaction Using Independent Suffixes of a Transparent-Scan Sequence.

Irith Pomeranz

Bridging the Pragmatic Gaps for Mixed-Criticality Systems in the Automotive Industry.

Zhe Jiang Shuai Zhao Ran Wei Dawei Yang Richard Paterson Nan Guan Yan Zhuang Neil C. Audsley

Mixed-Cell-Height Placement With Drain-to-Drain Abutment and Region Constraints.

Jianli Chen Ziran Zhu Longkun Guo Yu-Wei Tseng Yao-Wen Chang

Tree-Based Clock Distribution of Multiple-Stage Pipelined Architecture in Rapid Single-Flux-Quantum Circuits.

Jin-Tai Yan

TritonRoute-WXL: The Open-Source Router With Integrated DRC Engine.

Andrew B. Kahng Lutong Wang Bangqi Xu

3D-ICE 3.0: Efficient Nonlinear MPSoC Thermal Simulation With Pluggable Heat Sink Models.

Federico Terraneo Alberto Leva William Fornaciari Marina Zapater David Atienza

PACT: An Extensible Parallel Thermal Simulator for Emerging Integration and Cooling Technologies.

Zihao Yuan Prachi Shukla Sofiane Chetoui Sean S. Nemtzow Sherief Reda Ayse K. Coskun

Multiagent Reinforcement Learning for Hyperparameter Optimization of Convolutional Neural Networks.

Arman Iranfar Marina Zapater David Atienza

CUTIE: Beyond PetaOp/s/W Ternary DNN Inference Acceleration With Better-Than-Binary Energy Efficiency.

Moritz Scherer Georg Rutishauser Lukas Cavigelli Luca Benini

Robust Processing-In-Memory With Multibit ReRAM Using Hessian-Driven Mixed-Precision Computation.

Saurabh Dash Yandong Luo Anni Lu Shimeng Yu Saibal Mukhopadhyay

Logic Synthesis of Binarized Neural Networks for Efficient Circuit Implementation.

Chia-Chih Chi Jie-Hong R. Jiang

Converting Flip-Flop to Clock-Gated 3-Phase Latch-Based Designs Using Graph-Based Retiming.

Huimei Cheng Xi Li Yichen Gu Peter A. Beerel

INCAME: Interruptible CNN Accelerator for Multirobot Exploration.

Jincheng Yu Zhilin Xu Shulin Zeng Chao Yu Jiantao Qiu Zhaoyang Shen Yuanfan Xu Guohao Dai Yu Wang Huazhong Yang

ADAPT: A Write Disturbance-Aware Programming Technique for Scaled Phase Change Memory.

Muhammad Imran Taehyun Kwon Joon-Sung Yang

Rubik: A Hierarchical Architecture for Efficient Graph Neural Network Training.

Xiaobing Chen Yuke Wang Xinfeng Xie Xing Hu Abanti Basak Ling Liang Mingyu Yan Lei Deng Yufei Ding Zidong Du Yuan Xie

A Practical Highly Paralleled ReRAM-Based DNN Accelerator by Reusing Weight Pattern Repetitions.

Yuhao Zhang Zhiping Jia Hongchao Du Runzhen Xue Zhaoyan Shen Zili Shao

HERO: Pbit High-Radix Optical Switch Based on Integrated Silicon Photonics for Data Center.

Zhifei Wang Jun Feng Jiang Xu Xuanqi Chen Jiaxu Zhang Shixi Chen Yinyi Liu

Locality-Based Encoder and Model Quantization for Efficient Hyper-Dimensional Computing.

Justin Morris Roshan Fernando Yilun Hao Mohsen Imani Baris Aksanli Tajana Rosing

Prism-SSD: A Flexible Storage Interface for SSDs.

Zhaoyan Shen Feng Chen Gala Yadgar Zhiping Jia Zili Shao

Imitating Functional Operations for Mitigating Side-Channel Leakage.

Abhijitt Dhavlle Setareh Rafatirad Khaled N. Khasawneh Houman Homayoun Sai Manoj Pudukotai Dinakarrao

LeGO: A Learning-Guided Obfuscation Framework for Hardware IP Protection.

Abdulrahman Alaql Saranyu Chattopadhyay Prabuddha Chakraborty Tamzidul Hoque Swarup Bhunia

A Formal Framework for Maximum Error Estimation in Approximate Logic Synthesis.

Ilaria Scarabottolo Giovanni Ansaloni George A. Constantinides Laura Pozzi

DEVoT: Dynamic Delay Modeling of Functional Units Under Voltage and Temperature Variations.

Dongning Ma Xinqiao Zhang Ke Huang Yu Jiang Wanli Chang Xun Jiao

Correlated Rare Failure Analysis via Asymptotic Probability Evaluation.

Jun Tao Handi Yu Yangfeng Su Dian Zhou Xuan Zeng Xin Li

HotCluster: A Thermal-Aware Defect Recovery Method for Through-Silicon-Vias Toward Reliable 3-D ICs Systems.

Khanh N. Dang Akram Ben Ahmed Abderazek Ben Abdallah Xuan-Tu Tran


Volume 41, Number 3, March 2022
Efficient Formal Verification of Galois-Field Arithmetic Circuits Using ZDD Representation of Boolean Polynomials.

Akira Ito Rei Ueno Naofumi Homma

Fast Statistical Analysis of Rare Failure Events With Truncated Normal Distribution in High-Dimensional Variation Space.

Zhengqi Gao Jun Tao Yangfeng Su Dian Zhou Xuan Zeng Xin Li

In-Route Pin Access-Driven Placement Refinement for Improved Detailed Routing Convergence.

Andrew B. Kahng Jian Kuang Wen-Hao Liu Bangqi Xu

Efficient Identification of Undetectable Two-Cycle Gate-Exhaustive Faults.

Irith Pomeranz

Knowledge Transfer in Board-Level Functional Fault Diagnosis Enabled by Domain Adaptation.

Mengyun Liu Xin Li Krishnendu Chakrabarty Xinli Gu

Reduced-Pin-Count BOST for Test-Cost Reduction.

Youngkwang Lee Young-Woo Lee Sungyoul Seo Sungho Kang

An Efficient Procedure to Generate Highly Compact Diagnosis Patterns for Transition Faults.

Kuen-Jong Lee Cheng-Hung Wu Tsung-Yu Hou

A-Cache: Asymmetric Buffer Cache for RAID-10 Systems Under a Single-Disk Failure to Significantly Boost Availability.

Bin Zhou Hong Jiang Qiang Cao Shenggang Wan Changsheng Xie

Deep Learning-Driven Simultaneous Layout Decomposition and Mask Optimization.

Wei Zhong Shuxiang Hu Yuzhe Ma Haoyu Yang Xiuyuan Ma Bei Yu

Fast and Efficient High-Sigma Yield Analysis and Optimization Using Kernel Density Estimation on a Bayesian Optimized Failure Rate Model.

Dennis D. Weller Michael Hefenbrock Michael Beigl Mehdi B. Tahoori

feGRASS: Fast and Effective Graph Spectral Sparsification for Scalable Power Grid Analysis.

Zhiqiang Liu Wenjian Yu Zhuo Feng

Faster Region-Based Hotspot Detection.

Ran Chen Wei Zhong Haoyu Yang Hao Geng Fan Yang Xuan Zeng Bei Yu

Deeper Weight Pruning Without Accuracy Loss in Deep Neural Networks: Signed-Digit Representation-Based Approach.

Byungmin Ahn Taewhan Kim

Majority Logic Circuit Minimization Using Node Addition and Removal.

Chang-Cheng Ko Chia-Chun Lin Yung-Chih Chen Chun-Yao Wang

DASS: Combining Dynamic & Static Scheduling in High-Level Synthesis.

Jianyi Cheng Lana Josipovic George A. Constantinides Paolo Ienne John Wickerson

Optimal and Heuristic Approaches to Modulo Scheduling With Rational Initiation Intervals in Hardware Synthesis.

Patrick Sittel Nicolai Fiege John Wickerson Peter Zipf

An Efficient Hardware Design for Accelerating Sparse CNNs With NAS-Based Models.

Yun Liang Liqiang Lu Yicheng Jin Jiaming Xie Ruirui Huang Jiansong Zhang Wei Lin

An Automated Quantization Framework for High-Utilization RRAM-Based PIM.

Bing Li Songyun Qu Ying Wang

Causal Path Identification for Timed and Sequential Circuits.

Mackenzie J. Wibbels Kenneth S. Stevens

Mixing Models as Integer Factorization: A Key to Sample Preparation With Microfluidic Biochips.

Debraj Kundu Sudip Roy Sukanta Bhattacharjee Sohini Saha Krishnendu Chakrabarty Partha Pratim Chakrabarti Bhargab B. Bhattacharya

Tail Latency Optimization for LDPC-Based High-Density and Low-Cost Flash Memory Devices.

Yina Lv Liang Shi Longfei Luo Changlong Li Chun Jason Xue Edwin H.-M. Sha

CaptorX: A Class-Adaptive Convolutional Neural Network Reconfiguration Framework.

Zhuwei Qin Fuxun Yu Zirui Xu Chenchen Liu Xiang Chen

Throughput-Conscious Energy Allocation and Reliability-Aware Task Assignment for Renewable Powered In-Situ Server Systems.

Junlong Zhou Kun Cao Xiumin Zhou Mingsong Chen Tongquan Wei Shiyan Hu

Fixed-Priority Scheduling for Reliable and Energy-Aware (m, k)-Deadlines Enforcement With Standby-Sparing.

Linwei Niu Dakai Zhu

Exploring the Effect of Energy Storage Sizing on Intermittent Computing System Performance.

Jie Zhan Geoff V. Merrett Alex S. Weddell

Carry-Out Interference Optimization in WCRT Analysis for Global Fixed-Priority Multiprocessor Scheduling.

Guoqi Xie Wei Wu Renfa Li

RTL-ConTest: Concolic Testing on RTL for Detecting Security Vulnerabilities.

Xingyu Meng Shamik Kundu Arun K. Kanuparthi Kanad Basu

SoFI: Security Property-Driven Vulnerability Assessments of ICs Against Fault-Injection Attacks.

Huanyu Wang Henian Li Fahim Rahman Mark M. Tehranipoor Farimah Farahmandi

Information Leakage Analysis Using a Co-Design-Based Fault Injection Technique on a RISC-V Microprocessor.

Jim Plusquellic Donald E. Owen Tom J. Mannos Brian Dziki

Secure and Efficient Exponentiation Architectures Using Gaussian Normal Basis.

Amin Monfared Mostafa M. I. Taha Arash Reyhani-Masoleh

Design-Aware Partitioning-Based 3-D IC Design Flow With 2-D Commercial Tools.

Kyungwook Chang Saurabh Sinha Brian Cline Greg Yeric Sung Kyu Lim

Three-Dimensional Neuromorphic Computing System With Two-Layer and Low-Variation Memristive Synapses.

Hongyu An Mohammad Shah Al-Mamun Marius K. Orlowski Lingjia Liu Yang Yi


Volume 41, Number 2, February 2022
COCKTAIL: Multicore Co-Optimization Framework With Proactive Reliability Management.

Darong Huang Ali Pahlevan Marina Zapater David Atienza

Hardware-Enabled Efficient Data Processing With Tensor-Train Decomposition.

Zheng Qu Lei Deng Bangyan Wang Hengnu Chen Jilan Lin Ling Liang Guoqi Li Zheng Zhang Yuan Xie

Timing and Resource-Aware Mapping of Quantum Circuits to Superconducting Processors.

Lingling Lao Hans van Someren Imran Ashraf Carmen G. Almudéver

Deep Reinforcement-Learning-Guided Backup for Energy Harvesting Powered Systems.

Weifan Sun Wei Fan Mengying Zhao Weining Song Xiaojun Cai Tiantian Liu Zhiping Jia

Agglomerative Memory and Thread Scheduling for High-Performance Ray-Tracing on GPUs.

Yufei Ni Yangdong Deng Zonghui Li

Toward an Analysable, Scalable, Energy-Efficient I/O Virtualization for Mixed-Criticality Systems.

Zhe Jiang Xiaotian Dai Pan Dong Ran Wei Dawei Yang Neil C. Audsley Nan Guan

ChordMap: Automated Mapping of Streaming Applications Onto CGRA.

Zhaoying Li Dhananjaya Wijerathne Xianzhang Chen Anuj Pathania Tulika Mitra

Self-Adapting Channel Allocation for Multiple Tenants Sharing SSD Devices.

Renping Liu Duo Liu Xianzhang Chen Yujuan Tan Runyu Zhang Liang Liang

Contention Cognizant Scheduling of Task Graphs on Shared Bus-Based Heterogeneous Platforms.

Sanjit Kumar Roy Rajesh Devaraj Arnab Sarkar

Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing.

Satwik Patnaik Mohammed Ashraf Haocheng Li Johann Knechtel Ozgur Sinanoglu

Detection of and Countermeasure Against Thermal Covert Channel in Many-Core Systems.

Hengli Huang Xiaohang Wang Yingtao Jiang Amit Kumar Singh Mei Yang Letian Huang

PDF: Path-Oriented, Derivative-Free Approach for Safety Falsification of Nonlinear and Nondeterministic CPS.

Jiawan Wang Lei Bu Shaopeng Xing Xuandong Li

State-of-Charge Estimation of Supercapacitors in Transiently-Powered Sensor Nodes.

Junick Ahn Daeyong Kim Rhan Ha Hojung Cha

High-Performance Accurate and Approximate Multipliers for FPGA-Based Hardware Accelerators.

Salim Ullah Semeen Rehman Muhammad Shafique Akash Kumar

An In-Field Programmable Adaptive CMOS LNA for Intelligent IoT Sensor Node Applications.

Maryam Shafiee Sule Ozev


Volume 41, Number 1, January 2022
APmap: An Open-Source Compiler for Automata Processors.

Jintao Yu Muath Abu Lebdeh Hoang Anh Du Nguyen Mottaqiallah Taouil Said Hamdioui

Robust Deep Learning for IC Test Problems.

Animesh Basak Chowdhury Benjamin Tan Siddharth Garg Ramesh Karri

Improving F2FS Performance in Mobile Devices With Adaptive Reserved Space Based on Traceback.

Lihua Yang Zhipeng Tan Fang Wang Dan Feng Hongwei Qin Shiyun Tu Jiaxing Qian Yuting Zhao

elfPlace: Electrostatics-Based Placement for Large-Scale Heterogeneous FPGAs.

Yibai Meng Wuxi Li Yibo Lin David Z. Pan

Pin-Accessible Legalization for Mixed-Cell-Height Circuits.

Haocheng Li Wing-Kai Chow Gengjie Chen Bei Yu Evangeline F. Y. Young

Modeling the Dependency of Analog Circuit Performance Parameters on Manufacturing Process Variations With Applications in Sensitivity Analysis and Yield Prediction.

Elena-Diana Sandru Emilian David Ingrid Kovacs Andi Buzo Corneliu Burileanu Georg Pelz

Cambricon-G: A Polyvalent Energy-Efficient Accelerator for Dynamic Graph Neural Networks.

Xinkai Song Tian Zhi Zhe Fan Zhenxing Zhang Xi Zeng Wei Li Xing Hu Zidong Du Qi Guo Yunji Chen

Test and Yield Loss Reduction of AI and Deep Learning Accelerators.

Mehdi Sadi Ujjwal Guin

EMT: Elegantly Measured Tanner for Key-Value Store on SSD.

Tai Chang Jen-Wei Hsieh Tai-Chieh Chang Liang-Wei Lai

Cooperative Communication Between Two Transiently Powered Sensor Nodes by Reinforcement Learning.

Yawen Wu Zhenge Jia Fei Fang Jingtong Hu

Mixed-Criticality Scheduling Upon Permitted Failure Probability and Dynamic Priority.

Zhishan Guo Sudharsan Vaidhun Luca Satinelli Samsil Arefin Jun Wang Kecheng Yang

Code Synthesis for Dataflow-Based Embedded Software Design.

Zhuo Su Dongyan Wang Yixiao Yang Yu Jiang Wanli Chang Liming Fang Wen Li Jia-Guang Sun

Runtime Malware Detection Using Embedded Trace Buffers.

Rana Elnaggar Kanad Basu Krishnendu Chakrabarty Ramesh Karri

LOOPLock 2.0: An Enhanced Cyclic Logic Locking Approach.

Xiang-Min Yang Pei-Pei Chen Hsiao-Yu Chiang Chia-Chun Lin Yung-Chih Chen Chun-Yao Wang

Approximate Logic Synthesis Using Boolean Matrix Factorization.

Jingxiao Ma Soheil Hashemi Sherief Reda

An Efficient Batch-Constrained Bayesian Optimization Approach for Analog Circuit Synthesis via Multiobjective Acquisition Ensemble.

Shuhan Zhang Fan Yang Changhao Yan Dian Zhou Xuan Zeng