Volume 65-I, Number 12, December 2018
A Splitting Frequencies-Based Wireless Power and Information Simultaneous Transfer Method.

Jin-Guk Kim Guo Wei Man-Ho Kim Hyok-Su Ryo Phyong-Chol Ri Chunbo Zhu

A Double-Isolated DC-DC Converter Based on Integrated LC Resonant Barriers.

Nunzio Greco Alessandro Parisi Pierpaolo Lombardo Nunzio Spina Egidio Ragonese Giuseppe Palmisano

A Multi-Kernel Multi-Code Polar Decoder Architecture.

Gabriele Coppolino Carlo Condo Guido Masera Warren J. Gross

Advanced Bit Flip Concatenates BCH Code Demonstrates 0.93% Correctable BER and Faster Decoding on (36 864, 32 768) Emerging Memories.

Sheyang Ning

A Novel Digital-Intensive Hybrid Polar-I/Q RF Transmitter Architecture.

Tobias Buckel Peter Preyler Alexander Klinkan Damir Hamidovic Christoph Preissl Thomas Mayer Stefan Tertinek Siegfried Brandstaetter Christian Wicpalek Andreas Springer Robert Weigel

A Discrete-Time RF Signal-Processing Technique for Blocker-Tolerant Receivers With Wide Instantaneous Bandwidth.

Mohammad Ghadiri-Sadrabadi Joseph C. Bardin

Exponential Consensus of Multiagent Systems With Lipschitz Nonlinearities Using Sampled-Data Information.

Junjie Fu Guanghui Wen Wenwu Yu Tingwen Huang Jinde Cao

Lossless Systems Storage Function: New Results and Numerically Stable and Non-Iterative Computational Methods.

Ashish Kothyari Cornelis Praagman Madhu N. Belur

Finite-Time Bipartite Consensus for Multi-Agent Systems on Directed Signed Networks.

He Wang Wenwu Yu Guanghui Wen Guanrong Chen

QBF-Based Post-Silicon Debug of Speed-Paths Under Timing Variations.

Bijan Alizadeh Mehdi Shakeri

Synthesis of Ternary Logic Circuits Using 2: 1 Multiplexers.

Chetan Vudadha Ajay Surya Saurabh Agrawal M. B. Srinivas

An Accelerated LIF Neuronal Network Array for a Large-Scale Mixed-Signal Neuromorphic Architecture.

Syed Ahmed Aamir Yannik Stradmann Paul Müller Christian Pehle Andreas Hartel Andreas Grübl Johannes Schemmel Karlheinz Meier

Energy-Efficient Neural Network Acceleration in the Presence of Bit-Level Memory Errors.

Sung Kim Patrick Howe Thierry Moreau Armin Alaghi Luis Ceze Visvesh S. Sathe

Analysis and Design of Nonlinear Circuits With a Self-Consistent Carleman Linearization.

Harry Weber Wolfgang Mathis

IMAGING: In-Memory AlGorithms for Image processiNG.

Ameer Haj Ali Rotem Ben Hur Nimrod Wald Ronny Ronen Shahar Kvatinsky

Efficient Shift-Add Implementation of FIR Filters Using Variable Partition Hybrid Form Structures.

Dwaipayan Ray Nithin V. George Pramod Kumar Meher

Modeling Circuits With Arbitrary Topologies and Active Linear Multiports Using Wave Digital Filters.

Kurt James Werner Alberto Bernardini Julius O. Smith III Augusto Sarti

X-SRAM: Enabling In-Memory Boolean Computations in CMOS Static Random Access Memories.

Amogh Agrawal Akhilesh Jaiswal Chankyu Lee Kaushik Roy

Gain-Cell Embedded DRAM-Based Physical Unclonable Function.

Robert Giterman Yoav Weizman Adam Teman

Energy-Efficient Convolution Architecture Based on Rescheduled Dataflow.

Jihyuck Jo Suchang Kim In-Cheol Park

CORDIC-Based Architecture for Computing Nth Root and Its Implementation.

Yuanyong Luo Yuxuan Wang Huaqing Sun Yi Zha Zhongfeng Wang Hongbing Pan

Approximate Multipliers Based on New Approximate Compressors.

Darjn Esposito Antonio Giuseppe Maria Strollo Ettore Napoli Davide De Caro Nicola Petra

Second-Order Equivalent Circuits for the Design of Doubly-Tuned Transformer Matching Networks.

Andrea Mazzanti Andrea Bevilacqua

Amplifier Design for Specified Frequency Response Profiles Using Nullors-Hearing Aids, a Case Study.

Reza Hashemian

Distortion Contribution Analysis With the Best Linear Approximation.

Adam Cooman Piet Bronders Dries Peumans Gerd Vandersteen Yves Rolain

Loop-Filter Design and Analysis for Delta-Sigma Modulators and Oversampled IIR Filters.

Matthew Sienko

Power Bounds and Energy Efficiency in Incremental ΔΣ Analog-to-Digital Converters.

Saqib Mohamad Jie Yuan Amine Bermak

Statistics-Based Digital Background Calibration of Residue Amplifier Nonlinearity in Pipelined ADCs.

Hamidreza Mafi Mostafa Yargholi Mohammad Yavari

A High-Precision Resistor-Less CMOS Compensated Bandgap Reference Based on Successive Voltage-Step Compensation.

Xin Ming Li Hu Yang-Li Xin Xuan Zhang Di Gao Bo Zhang

Differential Capacitive Readout Circuit Using Oversampling Successive Approximation Technique.

Longjie Zhong Xinquan Lai Hongjiang Song Donglai Xu

Miniaturized Resonator and Bandpass Filter for Silicon-Based Monolithic Microwave and Millimeter-Wave Integrated Circuits.

He Zhu Yang Yang Xi Zhu Yichuang Sun Sai-Wai Wong

A 14-ENOB Delta-Sigma-Based Readout Architecture for ECoG Recording Systems.

Nikola Ivanisevic Saul Rodriguez Ana Rusu

An Oversampling Stochastic ADC Using VCO-Based Quantizers.

Hyuk Sun Kazuki Sobue Koichi Hamashita Un-Ku Moon


Volume 65-I, Number 11, November 2018
A Fully on-Chip Digitally Assisted LDO Regulator With Improved Regulation and Transient Responses.

Han Li Chenchang Zhan Ning Zhang

Nano-Ampere Low-Dropout Regulator Designs for IoT Devices.

Yuanqing Huang Yan Lu Franco Maloberti Rui Paulo Martins

A Dual-Output Switched Capacitor DC-DC Buck Converter Using Adaptive Time Multiplexing Technique in 65-nm CMOS.

Dima Kilani Baker Mohammad Mohammad Alhawari Hani H. Saleh Mohammed Ismail

A Current-Accuracy-Enhanced Wide-Input-Range DC-DC LED Driver With Feedforward Synchronous Current Control.

Zhidong Liu Hoi Lee

A 220-MHz Bondwire-Based Fully-Integrated KY Converter With Fast Transient Response Under DCM Operation.

Wen-Liang Zeng Chi-Seng Lam Sai-Weng Sin Franco Maloberti Man-Chung Wong Rui Paulo Martins

High-Performance Switched-Capacitor Boost-Buck Integrated Power Converters.

Younis Allasasmeh Stefano Gregori

All-Digital Transmitter Architecture Based on Two-Path Parallel 1-bit High Pass Filtering DACs.

Fikre Tsigabu Gebreyohannes Antoine Frappé Philippe Cathelin Andreia Cathelin Andreas Kaiser

Design and Implementation of Flexible and Reconfigurable SDF-Based FFT Chip Architecture With Changeable-Radix Processing Elements.

Xin-Yu Shih Hong-Ru Chou Yue-Qu Liu

Adaptive Learning-Based Compressive Sampling for Low-power Wireless Implants.

Cosimo Aprile Kerim Türe Luca Baldassarre Mahsa Shoaran Gürkan Yilmaz Franco Maloberti Catherine Dehollain Yusuf Leblebici Volkan Cevher

A Hardware-Scalable DSP Architecture for Beam Selection in mm-Wave MU-MIMO Systems.

Chun-Yu Yeh Ting-Chung Chu Chiao-En Chen Chia-Hsiang Yang

HTD: A Light-Weight Holosymmetrical Transition Detector for Wide-Voltage-Range Variation Resilient ICs.

Wentao Dai Weiwei Shan Xinchao Shang Xinning Liu Hao Cai Jun Yang

Real-Time Embedded Machine Learning for Tensorial Tactile Data Processing.

Ali Ibrahim Maurizio Valle

A Standard-Cell-Based All-Digital PWM Modulator With High Resolution and Spread- Spectrum Capability.

Michele De Martino Davide De Caro Darjn Esposito Ettore Napoli Nicola Petra Antonio Giuseppe Maria Strollo

TEL Logic Style as a Countermeasure Against Side-Channel Attacks: Secure Cells Library in 65nm CMOS and Experimental Results.

Davide Bellizia Giuseppe Scotti Alessandro Trifiletti

A Low Power Diode-Clamped Inverter-Based Strong Physical Unclonable Function for Robust and Lightweight Authentication.

Yuan Cao Chao Qun Liu Chip-Hong Chang

Asynchronous Spiking Pixel With Programmable Sensitivity to Illumination.

Juan Antonio Leñero-Bardallo Manuel Delgado-Restituto Ricardo Carmona-Galán Ángel Rodríguez-Vázquez

A Low-Power Vision System With Adaptive Background Subtraction and Image Segmentation for Unusual Event Detection.

Michele Benetti Massimo Gottardi Tobias Mayr Roberto Passerone

An Analog CMOS Silicon Photomultiplier Using Perimeter-Gated Single-Photon Avalanche Diodes.

Mst Shamim Ara Shawkat Mohammad Habib Ullah Habib Nicole McFarlane

A CMOS Temperature Sensor With Versatile Readout Scheme and High Accuracy for Multi-Sensor Systems.

Zhong Tang Yun Fang Xiaopeng Yu Zheng Shi Nianxiong Tan

A High Frame Rate Wearable EIT System Using Active Electrode ASICs for Lung Respiration and Heart Rate Monitoring.

Yu Wu Dai Jiang Andy Bardill Serena De Gelidi Richard H. Bayford Andreas Demosthenous

A Fully Integrated Analog Front End for Biopotential Signal Sensing.

Jiawei Zheng Wing-Hung Ki Chi-Ying Tsui

A 0.12-0.4 V, Versatile 3-Transistor CMOS Voltage Reference for Ultra-Low Power Systems.

Arthur Campos de Oliveira David Cordova Hamilton Klimach Sergio Bampi

Class-J SiGe X-Band Power Amplifier Using a Ladder Filter-Based AM-PM Distortion Reduction Technique.

Paolo Scaramuzza Carlo Rubino Michele Caruso Marc Tiebout Andrea Bevilacqua Andrea Neviani

Operational Transconductance Amplifier With Class-B Slew-Rate Boosting for Fast High-Performance Switched-Capacitor Circuits.

Mohammad H. Naderi Suraj Prakash José Silva-Martínez

An All-Digital PLL for Cellular Mobile Phones in 28-nm CMOS with -55 dBc Fractional and -91 dBc Reference Spurs.

Feng-Wei Kuo Masoud Babaie Huan-Neng Ron Chen Lan-Chou Cho Chewnpu Jou Mark Chen Robert Bogdan Staszewski

A Mixed-Signal Circuit Technique for Cancellation of Interferers Modulated by LO Phase-Noise in 4G/5G CA Transceivers.

Silvester Sadjina Ram Sunil Kanumalli Andreas Gebhard Krzysztof Dufrene Mario Huemer Harald Pretl

An On-Chip Self-Characterization of a Digital-to-Time Converter by Embedding it in a First-Order ΔΣ Loop.

Peng Chen Xiongchuan Huang Yue Chen Lianbo Wu Robert Bogdan Staszewski

A 25-Gb/s 270-mW Time-to-Digital Converter-Based 8× Oversampling Input-Delayed Data-Receiver in 45-nm SOI CMOS.

Sami Ur Rehman Mohammad Mahdi Khafaji Corrado Carta Frank Ellinger

Missing-Code-Occurrence Probability Calibration Technique for DAC Nonlinearity With Supply and Reference Circuit Analysis in a SAR ADC.

Guan-Cheng Wang Cheng Li Yan Zhu Jianyu Zhong Yan Lu Chi-Hang Chan Rui Paulo Martins

A 4-Channel 12-Bit High-Voltage Radiation-Hardened Digital-to-Analog Converter for Low Orbit Satellite Applications.

Hua Fan Dagang Li Kelin Zhang Yuanjun Cen Quanyuan Feng Fei Qiao Hadi Heidari

A 12-bit Multi-Channel R-R DAC Using a Shared Resistor String Scheme for Area-Efficient Display Source Driver.

Dong-Kyu Jung Yun-Hwan Jung Taegeun Yoo Dong-Hyun Yoon Bo-Yun Jung Tony Tae-Hyoung Kim Kwang-Hyun Baek

A 0.9-V 100-µW Feedforward Adder-Less Inverter-Based MASH ΔΣ Modulator With 91-dB Dynamic Range and 20-kHz Bandwidth.

Mohammad Honarparvar José M. de la Rosa Mohamad Sawan

Continuous-Time Delta-Sigma Modulators Based on Passive RC Integrators.

João L. A. de Melo Nuno Paulino João Goes

Reset-Free Memoryless Delta-Sigma Analog-to-Digital Conversion.

R. S. Ashwin Kumar Debasish Behera Nagendra Krishnapura

A 0.6-V 10-bit 200-kS/s SAR ADC With Higher Side-Reset-and-Set Switching Scheme and Hybrid CAP-MOS DAC.

Hongshuai Zhang Hong Zhang Quan Sun Jijun Li Xipeng Liu Ruizhi Zhang

A 2-MS/s, 11.22 ENOB, Extended Input Range SAR ADC With Improved DNL and Offset Calculation.

Sohail Asghar Sohaib Saadat Afridi Anu Pillai Anita Schuler José M. de la Rosa Ivan John O'Connell

A 18.5 nW 12-bit 1-kS/s Reset-Energy Saving SAR ADC for Bio-Signal Acquisition in 0.18-µm CMOS.

Min-Jae Seo Dong-Hwan Jin Ye-Dam Kim Sun-Il Hwang Jong-Pal Kim Seung-Tak Ryu

A 0.19 mm2 10 b 2.3 GS/s 12-Way Time-Interleaved Pipelined-SAR ADC in 65-nm CMOS.

Yan Zhu Chi-Hang Chan Zi-Hao Zheng Cheng Li Jianyu Zhong Rui Paulo Martins

Guest Editorial Special Issue on the 2018 International Symposium on Integrated Circuits and Systems.

Elena Blokhina


Volume 65-I, Number 10, October 2018
Time-Domain Characterization of Digitized PWM Inverter With Dead-Time Effect.

Mayank Kumar

A Fully Integrated Low-Dropout Regulator With Differentiator-Based Active Zero Compensation.

Shi Bu Ka Nang Leung Yan Lu Jianping Guo Yanqi Zheng

A 60 mV Input Voltage, Process Tolerant Start-Up System for Thermoelectric Energy Harvesting.

Mohammadjavad Dezyani Hassan Ghafoorifard Samad Sheikhaei Wouter A. Serdijn

Fully-Parallel Stochastic Decoder for Rate Compatible Modulation.

Fang Lu Yan Dong Chang Wen Chen

An Energy-Efficient Network-on-Chip-Based Reconfigurable Viterbi Decoder Architecture.

N. Prasad Indrajit Chakrabarti Santanu Chattopadhyay

Design Techniques for High-Speed Multi-Level Viterbi Detectors and Trellis-Coded-Modulation Decoders.

Hazar Yueksel Matthias Braendli Andreas Burg Giovanni Cherubini Roy D. Cideciyan Pier Andrea Francese Simeon Furrer Marcel A. Kossel Lukas Kull Danny Luu Christian Menolfi Thomas Morf Thomas Toifl

Reliable Control of Fuzzy Singularly Perturbed Systems and Its Application to Electronic Circuits.

Yueying Wang Peng Shi Huaicheng Yan

Event-Based Consensus for a Class of Nonlinear Multi-Agent Systems With Sequentially Connected Topology.

Ying Cui Yurong Liu Wenbing Zhang Fuad E. Alsaadi

Fault Detection for Linear Discrete Time-Varying Systems With Multiplicative Noise: The Finite-Horizon Case.

Yueyang Li Hamid Reza Karimi Maiying Zhong Steven X. Ding Shuai Liu

Finite-Time H∞ State Estimation for Discrete Time-Delayed Genetic Regulatory Networks Under Stochastic Communication Protocols.

Xiongbo Wan Zidong Wang Qing-Long Han Min Wu

A Simple Piecewise Model of Reset/Set Transitions in Bipolar ReRAM Memristive Devices.

Mohamad Moner Al Chawa Carol de Benito Rodrigo Picos

X-Point PUF: Exploiting Sneak Paths for a Strong Physical Unclonable Function Design.

Rui Liu Pai-Yu Chen Xiaochen Peng Shimeng Yu

A Built-In Self-Test and In Situ Analog Circuit Optimization Platform.

Sanghoon Lee Congyin Shi Jiafan Wang Adriana C. Sanabria-Borbon Hatem Osman Jiang Hu Edgar Sánchez-Sinencio

Accurate Shielded Interconnect Delay Estimation by Reconfigurable Ring Oscillator.

Eyal Sarfati Binyamin Frankel Yitzhak Birk Shmuel Wimer

A Study on the Design Parameters for MEMS Oscillators Incorporating Nonlinearities.

Ming-Huang Li Chao-Yu Chen Sheng-Shian Li

A 1.4-mW 14-MHz MEMS Oscillator Based on a Differential Adjustable-Bandwidth Transimpedance Amplifier and Piezoelectric Disk Resonator.

Anoir Bouchami Mohannad Elsayed Frederic Nabki

Data-Driven Filtering for Nonlinear Systems With Bounded Noises and Quantized Measurements.

Yuanqing Xia Dongdong Yu Li Li Hongjiu Yang Wen Xie

Random Fourier Filters Under Maximum Correntropy Criterion.

Shiyuan Wang Lujuan Dang Badong Chen Shukai Duan Lidan Wang Chi K. Tse

Superior Execution Time Design of a Space/Spatial-Frequency Optimal Filter for Highly Nonstationary 2D FM Signal Estimation.

Veselin N. Ivanovic Nevena R. Brnovic

A Fast and Power-Efficient Hardware Architecture for Visual Feature Detection in Affine-SIFT.

Peng Ouyang Shouyi Yin Leibo Liu Youguang Zhang Weisheng Zhao Shaojun Wei

A Low-Complexity Hardware for Deterministic Compressive Sensing Reconstruction.

Mohammad Fardad Sayed Masoud Sayedi Ehsan Yazdian

Time-Based Sensing for Reference-Less and Robust Read in STT-MRAM Memories.

Kien Trinh Quang Sergio Ruocco Massimo Alioto

Pentavariate Vmin Analysis of a Subthreshold 10T SRAM Bit Cell With Variation Tolerant Write and Divided Bit-Line Read.

Shourya Gupta Kirti Gupta Neeta Pandey

A Modular and Reconfigurable Pipeline Architecture for Learning Vector Quantization.

Xiangyu Zhang Fengwei An Lei Chen Idaku Ishii Hans Jürgen Mattausch

ASNI: Attenuated Signature Noise Injection for Low-Overhead Power Side-Channel Attack Immunity.

Debayan Das Shovan Maity Saad Bin Nasir Santosh Ghosh Arijit Raychowdhury Shreyas Sen

An Area Efficient 1024-Point Low Power Radix-22 FFT Processor With Feed-Forward Multiple Delay Commutators.

Ngoc Le Ba Tony Tae-Hyoung Kim

A Design Method for Nested MASH-SQ Hybrid Divider Controllers for Fractional-N Frequency Synthesizers.

Dawei Mai Michael Peter Kennedy

Generalized Analysis of High-Order Switch-RC N-Path Mixers/Filters Using the Adjoint Network.

Shanthi Pavan Eric A. M. Klumperink

Efficient ASK Data and Power Transmission by the Class-E With a Switchable Tuned Network.

Mehdi Lotfi Navaii Hamed Sadjedi Amir Sarrafzadeh

Digitally Assisted On-Chip Body Bias Tuning Scheme for Ultra Low-Power VLSI Systems.

Hayate Okuhara Akram Ben Ahmed Hideharu Amano

Area-Efficient Time-Shared Digital-to-Analog Converter With Dual Sampling for AMOLED Column Driver IC's.

Tai-Ji An Moon-Sang Hwang Won-Jun Choe Gil-Cho Ahn Seung-Hoon Lee

Analysis and Modeling of Chopping Phase Non-Overlap in Continuous-Time ΔΣ Modulators.

Kamlesh Singh

Degradation of Alias Rejection in Continuous-Time Delta-Sigma Modulators by Weak Loop-Filter Nonlinearities.

Saravana Manivannan Shanthi Pavan

A Wideband Inductorless dB-Linear Automatic Gain Control Amplifier Using a Single-Branch Negative Exponential Generator for Wireline Applications.

Lingshan Kong Yong Chen Chirn Chye Boon Pui-In Mak Rui Paulo Martins

A 0.8-4-GHz Software-Defined Radio Receiver With Improved Harmonic Rejection Through Non-Overlapped Clocking.

Amir Bazrafshan Mohammad Taherzadeh-Sani Frederic Nabki

A 2.5-GHz CMOS Full-Duplex Front-End for Asymmetric Data Networks.

Abhishek Kumar Sankaran Aniruddhan

A Low-Voltage Low-Phase-Noise 25-GHz Two-Tank Transformer-Feedback VCO.

Shita Guo Ping Gui Tianwei Liu Tao Zhang Tianzuo Xi Guoying Wu Yanli Fan Mark Morgan

A Seven-Octave Broadband LNA MMIC Using Bandwidth Extension Techniques and Improved Active Load.

Jianquan Hu Kaixue Ma Shouxian Mou Fanyi Meng

Power and Conjugately Matched High Band UWB Power Amplifier.

Milenko M. Milicevic Branislava S. Milinkovic Dusan N. Grujic Lazar V. Saranovac

Multi-Rate DEM With Mismatch-Noise Cancellation for DCOs in Digital PLLs.

Enrique Alvarez-Fontecilla Christian Venerus Ian Galton


Volume 65-I, Number 9, September 2018
Dual-Phase-Shift Control Scheme With Current-Stress and Efficiency Optimization for Wireless Power Transfer Systems.

Yong Li Jiefeng Hu Feibin Chen Zilin Li Zhengyou He Ruikun Mai

A Monolithic High-Voltage Li-Ion Battery Charger With Sharp Mode Transition and Partial Current Control Technique.

Jian-Fu Wu Chia-Ling Wei Ying-Zong Juang

Tri-Phasing Modulation for Efficient and Wideband Radio Transmitters.

Jerry Lemberg Mikko Martelius Marko Kosunen Enrico Roverato Kari Stadius Lauri Anttila Mikko Valkama Jussi Ryynänen

Hardware Implementation and Performance Analysis of Resource Efficient Probabilistic Hard Decision LDPC Decoders.

Burak Unal Ali Akoglu Fakhreddine Ghaffari Bane Vasic

A Mixed-Signal Technique for TX-Induced Modulated Spur Cancellation in LTE-CA Receivers.

Ahmed Elmaghraby Ram Sunil Kanumalli Werner Schelmbauer Andreas Mayer Stefan Herzinger Dan Schwartz Mario Huemer Robert Weigel

A Continuous Sweep-Clock-Based Time-Expansion Impulse-Radio Radar.

Piljae Park Sungdo Kim

A 3.9 mW Bluetooth Low-Energy Transmitter Using All-Digital PLL-Based Direct FSK Modulation in 55 nm CMOS.

SeongJin Oh Sung-Jin Kim Imran Ali Thi Kim Nga Truong Dong-Soo Lee YoungGun Pu Sang-Sun Yoo Minjae Lee Keum-Cheol Hwang Youngoo Yang Kang-Yoon Lee

Near-Field MIMO Communication Links.

Sendy Phang Michel T. Ivrlac Gabriele Gradoni Stephen C. Creagh Gregor Tanner Josef A. Nossek

A 36-Gb/s 1.3-mW/Gb/s Duobinary-Signal Transmitter Exploiting Power-Efficient Cross-Quadrature Clocking Multiplexers With Maximized Timing Margin.

Yong Chen Pui-In Mak Chirn Chye Boon Rui Paulo Martins

Finite Frequency Filtering Design for Uncertain Discrete-Time Systems Using Past Output Measurements.

Meng Wang Jianbin Qiu Gang Feng

Resilient Filtering for Linear Time-Varying Repetitive Processes Under Uniform Quantizations and Round-Robin Protocols.

Fan Wang Zidong Wang Jinling Liang Xiaohui Liu

Toward Stronger Robustness of Network Controllability: A Snapback Network Model.

Yang Lou Lin Wang Guanrong Chen

Robust Reconstruction of Continuously Time-Varying Topologies of Weighted Networks.

Juan Liu Guofeng Mei Xiaoqun Wu Jinhu Lu

New Approach to Fixed-Order Output-Feedback Control for Piecewise-Affine Systems.

Yanling Wei Haoyong Yu Hamid Reza Karimi Young Hoon Joo

Observer-Based Adaptive SMC for Nonlinear Uncertain Singular Semi-Markov Jump Systems With Applications to DC Motor.

Wenhai Qi Guangdeng Zong Hamid Reza Karimi

Generating the Closed-Form Second-Order Characteristics of Analog Differential Cells by Symbolic Perturbation.

Guoyong Shi

Process Scalability of Pulse-Based Circuits for Analog Image Convolution.

Robert D'Angelo Xiaocong Du Christopher D. Salthouse Brent Hollosi Geremy Freifeld Wes Uy Haiyao Huang Nhut Tran Armand Chery Jae-sun Seo Yu Cao Dorothy C. Poppe Sameer R. Sonkusale

A Phase Tunable Rotary Traveling Wave Oscillator: Analysis and Calibration.

Soolmaz Abbasalizadeh Hossein Miar Naimi

Analog Circuit Implementation of Fractional-Order Memristor: Arbitrary-Order Lattice Scaling Fracmemristor.

Yi-Fei Pu Xiao Yuan Bo Yu

A Hardware-Efficient Feedback Polynomial Topology for DPD Linearization of Power Amplifiers: Theory and FPGA Validation.

Chak-Fong Cheang Pui-In Mak Rui Paulo Martins

FIR Filter Realization via Deferred End-Around Carry Modular Addition.

Armin Belghadr Ghassem Jaberipur

A Low-Latency and Low-Complexity Point-Multiplication in ECC.

Raziyeh Salarifard Siavash Bayat Sarmadi Hatameh Mosanaei-Boorani

Design and Evaluation of Approximate Logarithmic Multipliers for Low Power Error-Tolerant Applications.

Weiqiang Liu Jiahua Xu Danye Wang Chenghua Wang Paolo Montuschi Fabrizio Lombardi

Impedance Matching and Reradiation in LPTV Receiver Front-Ends: An Analysis Using Conversion Matrices.

Sameed Hameed Sudhakar Pamarti

Modeling Random Clock Jitter Effect of High-Speed Current-Steering NRZ and RZ DAC.

Seonggeon Kim Kang-Yoon Lee Minjae Lee

A 16×16 CMOS Amperometric Microelectrode Array for Simultaneous Electrochemical Measurements.

Christos Giagkoulovits Boon Chong Cheah Mohammed Al-Rawhani Claudio Accarino Christoph Busche James P. Grant David R. S. Cumming

A Wirelessly Powered CMOS Electrochemical Sensing Interface With Power-Aware RF-DC Power Management.

Jui-Hsiang Tsai Chen-Yi Kuo Shu-Hsuan Lin Fu-To Lin Yu-Te Liao

A High-Voltage DAC-Based Transmitter for Coded Signals in High Frequency Ultrasound Imaging Applications.

Po-Chih Ku Kuan-Yu Shih Liang-Hung Lu

A Self-Powered Supply-Sensing Biosensor Platform Using Bio Fuel Cell and Low-Voltage, Low-Cost CMOS Supply-Controlled Ring Oscillator With Inductive-Coupling Transmitter for Healthcare IoT.

Kiichi Niitsu Atsuki Kobayashi Yuya Nishio Kenya Hayashi Kei Ikeda Takashi Ando Yudai Ogawa Hiroyuki Kai Matsuhiko Nishizawa Kazuo Nakazato

A Subthreshold Buffer-Based Biquadratic Cell and its Application to Biopotential Filter Design.

Surachoke Thanapitak Chutham Sawigun

A Digitally Interfaced Analog Correlation Filter System for Object Tracking Applications.

Mohsen Judy Nicholas Poore Peixing Liu Tan Yang Charles L. Britton Jr. David S. Bolme Aravind K. Mikkilineni Jeremy Holleman

A CMOS Follower-Type Voltage Regulator With a Distributed-Element Fractional-Order Control.

Libor Kadlcik Pavel Horsky

Hardware Implementation of an Event-Based Message Passing Graphical Model Network.

Chen-Han Chien Luca Longinotti Andreas Steimer Shih-Chii Liu

Design and Hardware Implementation of Neuromorphic Systems With RRAM Synapses and Threshold-Controlled Neurons for Pattern Recognition.

Yuning Jiang Peng Huang Dongbin Zhu Zheng Zhou Runze Han Lifeng Liu Xiaoyan Liu Jinfeng Kang

Wideband Techniques for Outphasing Power Amplifiers.

Kyle D. Holzer Wen Yuan Jeffrey S. Walling

Expansion and Compression of Analog Pulses by Bandwidth Scaling of Continuous-Time Filters.

Imon Mondal Nagendra Krishnapura

A 2.5-5.6 GHz Subharmonically Injection-Locked All-Digital PLL With Dual-Edge Complementary Switched Injection.

Sung-Yong Cho Sungwoo Kim Min-Seong Choo Han-Gon Ko Jinhyung Lee Woo-Rham Bae Deog-Kyoon Jeong

A K-Ka-Band Concurrent Dual-Band Single-Ended Input to Differential Output Low-Noise Amplifier Employing a Novel Transformer Feedback Dual-Band Load.

Jaeyoung Lee Cam Nguyen

A 7-GHz CMOS Bidirectional Variable Gain Amplifier With Low Gain and Phase Imbalances.

Bosung Suh Doojung Kim Byung-Wook Min

A Full Ka-Band Power Amplifier With 32.9% PAE and 15.3-dBm Power in 65-nm CMOS.

Haikun Jia Clarissa C. Prawoto Baoyong Chi Zhihua Wang C. Patrick Yue

A Low-Power, Wireless, Capacitive Sensing Frontend Based on a Self-Oscillating Inductive Link.

Matthew Schormans Virgilio Valente Andreas Demosthenous


Volume 65-I, Number 8, August 2018
A 12 mV Input, 90.8% Peak Efficiency CRM Boost Converter With a Sub-Threshold Startup Voltage for TEG Energy Harvesting.

Junchao Mu Lianxi Liu

A 93% Peak Efficiency Fully-Integrated Multilevel Multistate Hybrid DC-DC Converter.

Abdullah Abdulslam Baker Mohammad Mohammed Ismail Patrick P. Mercier Yehea Ismail

A Low-Latency and Area-Efficient Gram-Schmidt-Based QRD Architecture for MIMO Receiver.

Dongyeob Shin Jongsun Park

A Novel Transmitter Architecture for Spectrally-Precoded OFDM.

Medhat Mohamad Rickard Nilsson Jaap van de Beek

40-nm CMOS Wideband High-IF Receiver Using a Modified Charge-Sharing Bandpass Filter to Boost Q-Factor.

Filipe D. Baumgratz Sandro Binsfeld Ferreira Michiel S. J. Steyaert Sergio Bampi Filip Tavernier

Model Reduction Using Parameterized Limited Frequency Interval Gramians for 1-D and 2-D Separable Denominator Discrete-Time Systems.

Deepak Kumar Victor Sreeram Xin Du

Device and Compact Circuit-Level Modeling of Graphene Field-Effect Transistors for RF and Microwave Applications.

Lei Sang Yulong Xu Yun Wu Rongmin Chen

Efficient Modeling of Crosstalk Noise on Power Distribution Networks for Contactless 3-D ICs.

Ioannis A. Papistas Vasilis F. Pavlidis

Phase Transition Analysis of Dual-Mode Standing-Rotary Traveling-Wave Oscillator.

Soolmaz Abbasalizadeh Hossein Miar Naimi

An Area-Efficient Column-Parallel Digital Decimation Filter With Pre-BWI Topology for CMOS Image Sensor.

Fang Tang Zhongjie Wang Yingjun Xia Fan Liu Xichuan Zhou Shengdong Hu Zhi Lin Amine Bermak

Low-Cost Lifting Architecture and Lossless Implementation of Daubechies-8 Wavelets.

Md. Mehedi Hasan Khan A. Wahid

All-Digital Blind Background Calibration Technique for Any Channel Time-Interleaved ADC.

Yongtao Qiu You-Jiang Liu Jie Zhou Guifu Zhang Dahai Chen Niantong Du

Efficient Progressive Radiance Estimation Engine Architecture and Implementation for Progressive Photon Mapping.

Ching-Chieh Chiu Lan-Da Van Yu-Shu Lin

Faster Residue Multiplication Modulo 521-bit Mersenne Prime and an Application to ECC.

Shoukat Ali Murat Cenk

Efficient Mapping of Boolean Functions to Memristor Crossbar Using MAGIC NOR Gates.

Phrangboklang Lyngton Thangkhiew Rahul Gharpinde Kamalika Datta

Low Complexity Implementation of Unified Systolic Multipliers for NIST Pentanomials and Trinomials Over GF(2m).

Qiliang Shao Zhenji Hu Shaik Nazeem Basha Zhiping Zhang Zhiqiang Wu Chiou-Yng Lee Jiafeng Xie

A 0.2 V 32-Kb 10T SRAM With 41 nW Standby Power for IoT Applications.

Yung-Chen Chien Jinn-Shyan Wang

A Scalable Optoelectronic Neural Probe Architecture With Self-Diagnostic Capability.

Hubin Zhao Ahmed Soltan Pleun Maaskant Na Dong Xiaohan Sun Patrick Degenaar

Integrated ExG, Vibration and Temperature Measurement Front-End for Wearable Sensing.

Robert Rieger Mochammad Rif'an

Optimal Design for Realizing a Grounded Fractional Order Inductor Using GIC.

Avishek Adhikary Sourabh Choudhary Siddhartha Sen

Theoretical Analysis of Circuit Non-Idealities in a Passive Spectrum Scanner Based on Periodically Time-Varying Circuit Components.

Neha Sinha Sudhakar Pamarti

A Systematic Design Method for Direct Delta-Sigma Receivers.

Mikko Englund Faizan Ul Haq Kari Stadius Marko Kosunen Kim B. Ostman Kimmo Koli Jussi Ryynänen

Low-Power Single-Ended SAR ADC Using Symmetrical DAC Switching for Image Sensors With Passive CDS and PGA Technique.

Jingyu Wang Shubin Liu Yi Shen Zhangming Zhu

A 53 dB Ω~7-GHz Inductorless Transimpedance Amplifier and a 1-THz+ GBP Limiting Amplifier in 0.13-µm CMOS.

Sagar Ray Mona Mostafa Hella

A 0.49-13.3 MHz Tunable Fourth-Order LPF with Complex Poles Achieving 28.7 dBm OIP3.

Pedram Payandehnia Hamidreza Maghami Hossein Mirzaie Manjunath Kareppagoudr Siladitya Dey Massoud Tohidian Gabor C. Temes


Volume 65-I, Number 7, July 2018
A Combined Analytical-Numerical Methodology for Predicting Subharmonic Oscillation in H-Bridge Inverters Under Double Edge Modulation.

Abdelali El Aroudi Mohammed S. Al-Numay Weiguo Lu Josep M. Bosque-Moncusí Herbert Ho-Ching Iu

A Pulse Energy Injection Inverter for the Switch-Mode Inductive Power Transfer System.

Yanan Wang Lei Dong Xiaozhong Liao Xinglong Ju Steven W. Su Hongwei Ma

A Cost-Effective Adaptive Rectifier for Low Power Loosely Coupled Wireless Power Transfer Systems.

Erhan Ozalevli Nicola Femia Giulia Di Capua Rajaram Subramonian Dingkun Du Joseph Sankman Mustapha El Markhi

A Frequency-Folded ADC Channelizer With Digital Equalization and Relaxed Anti-Alias Filtering.

Vineet Kumar Singh Wei-Gi Ho Ranjit Gharpurey

Design of an On-Silicon-Interposer Passive Equalizer for Next Generation High Bandwidth Memory With Data Rate Up To 8 Gb/s.

Yeseul Jeon Heegon Kim Joungho Kim Minkyu Je

A Dual-Resolution Wavelet-Based Energy Detection Spectrum Sensing for UWB-Based Cognitive Radios.

Nam-Seog Kim Jan M. Rabaey

A Maximum-Likelihood Sequence Detection Powered ADC-Based Serial Link.

Shiming Song Kyojin David Choo Thomas Chen Sunmin Jang Michael P. Flynn Zhengya Zhang

Performance Assessment of Discrete-Time Extended State Observers: Theoretical and Experimental Results.

Yuan Huang Junzheng Wang Dawei Shi Ling Shi

Adaptive Fault-Tolerant Consensus for a Class of Uncertain Nonlinear Second-Order Multi-Agent Systems With Circuit Implementation.

Xiao-Zheng Jin Shaofan Wang Jiahu Qin Wei Xing Zheng Yu Kang

Event-Triggered Control for Consensus Problem in Multi-Agent Systems With Quantized Relative State Measurements and External Disturbance.

Zheng-Guang Wu Yong Xu Ya-Jun Pan Housheng Su Yang Tang

An Algorithmic Approach for Signal Measurement Using Symbolic Dynamics of Tent Map.

Rajlaxmi Basu Dhrubajyoti Dutta Soumitro Banerjee Violeta Holmes Peter Mather

A Novel Memristor-Based Circuit Implementation of Full-Function Pavlov Associative Memory Accorded With Biological Feature.

Zilu Wang Xiaoping Wang

A Resistive RAM-Based FPGA Architecture Equipped With Efficient Programming Circuitry.

Behnam Khaleghi Hossein Asadi

Variable-Node-Shift Based Architecture for Probabilistic Gradient Descent Bit Flipping on QC-LDPC Codes.

Khoa Le David Declercq Fakhreddine Ghaffari Lounis Kessal Oana Boncalo Valentin Savin

A 1 pF-to-10 nF Generic Capacitance-to-Digital Converter Using Zero-Crossing ΔΣ Modulation.

Bing Li Wei Wang Jia Liu Wen-Jun Liu Qian Yang Wen Bin Ye

An L-Band Low Phase Noise Evanescent-Mode Cavity-Based Frequency Synthesizer.

Yu-Chen Wu Mohammad Abu Khater Dimitrios Peroulis

A Transformer-Based 3-dB Differential Coupler.

Yongqiang Wang Kaixue Ma Shouxian Mou

A W-Band Balanced Power Amplifier Using Broadside Coupled Strip-Line Coupler in SiGe BiCMOS 0.13-µm Technology.

Zhang Ju Hou Yang Yang Leung Chiu Xi Zhu Eryk Dutkiewicz John C. Vardaxoglou Quan Xue

Low 1/f3 Phase Noise Quadrature LC VCOs.

Abhishek Bhat Nagendra Krishnapura

A 2.1-GHz Third-Order Cascaded PLL With Sub-Sampling DLL and Clock-Skew-Sampling Phase Detector.

Zhiqiang Huang Bingwei Jiang Howard C. Luong

A Flexible, Low-Power Analog PLL for SoC and Processors in 14nm CMOS.

Kuan-Yueh James Shen Syed Feruz Syed Farooq Yongping Fan Khoa Minh Nguyen Qi Wang Mark Neidengard Nasser A. Kurd Amr Elshazly

A Power-Saving Adaptive Equalizer With a Digital-Controlled Self-Slope Detection.

Yo-Hao Tu Kuo-Hsing Cheng Man-Ju Lee Jen-Chieh Liu

A 76-84 GHz CMOS 4× Subharmonic Mixer With Internal Phase Correction.

Fotis C. Plessas George Souliotis Rodoula Makri

W-Band (92-100 GHz) Phased-Array Receive Channel With Quadrature-Hybrid-Based Vector Modulator.

Sadia Afroz Kwang-Jin Koh

USER-SMILE: Ultrafast Stimulus Error Removal and Segmented Model Identification of Linearity Errors for ADC Built-in Self-Test.

Tao Chen Xiankun Jin Randall L. Geiger Degang Chen


Volume 65-I, Number 6, June 2018
Harvesting Energy From Aviation Data Lines: Implementation and Experimental Results.

Maryam Mohajertehrani Yvon Savaria Mohamad Sawan

Four Monolithically Integrated Switched-Capacitor DC-DC Converters With Dynamic Capacitance Sharing in 65-nm CMOS.

Ivan Bukreyev Christopher Torng Waclaw Godycki Christopher Batten Alyssa B. Apsel

Compact Fast-Waking Light/Heat-Harvesting 0.18-µm CMOS Switched-Inductor Charger.

Andres A. Blanco Gabriel A. Rincón-Mora

Analysis and Specification of an IR-UWB Transceiver for High-Speed Chip-to-Chip Communication in a Server Chassis.

Cecilia Gimeno Denis Flandre David Bol

One Mbps 1 nJ/b 3.5-4 GHz Fully Integrated FM-UWB Transmitter for WBAN Applications.

Mohamed Ali Heba A. Shawkey Abdelhalim Zekry Mohamad Sawan

Lyapunov Conditions for Stability of Stochastic Impulsive Switched Systems.

Wei Ren Junlin Xiong

NCL Synthesis With Conventional EDA Tools: Technology Mapping and Optimization.

Matheus T. Moreira Peter A. Beerel Marcos L. L. Sartori Ney Laert Vilar Calazans

Efficient Behavioral Simulation of Charge-Pump Phase-Locked Loops.

Mauro Leoncini Andrea Bonfanti Salvatore Levantino Andrea L. Lacaita

An Efficient Bayesian Optimization Approach for Automated Optimization of Analog Circuits.

Wenlong Lyu Pan Xue Fan Yang Changhao Yan Zhiliang Hong Xuan Zeng Dian Zhou

Efficient Hardware Architectures for Deep Convolutional Neural Network.

Jichen Wang Jun Lin Zhongfeng Wang

Modular Design of High-Efficiency Hardware Median Filter Architecture.

Shih-Hsiang Lin Pei-Yin Chen Chih-Kun Hsu

VFAB: A Novel 2-Stage STTRAM Sensing Using Voltage Feedback and Boosting.

Seyedhamidreza Motaman Swaroop Ghosh Jaydeep P. Kulkarni

A Low Power Self-healing Resilient Microarchitecture for PVT Variability Mitigation.

Shady O. Agwa Eslam Yahya Yehea Ismail

A Scalable Low-Power Reconfigurable Accelerator for Action-Dependent Heuristic Dynamic Programming.

Nan Zheng Pinaki Mazumder

An On-Chip CMOS Temperature Sensor Using Self-Discharging P-N Diode in a Δ-Σ Loop.

Golam R. Chowdhury Arjang Hassibi

Planar Balanced-to-Unbalanced In-Phase Power Divider With Wideband Filtering Response and Ultra-Wideband Common-Mode Rejection.

Lingxiao Jiao Yongle Wu Zheng Zhuang Yuanan Liu Ahmed A. Kishk

Theory and Design of Frequency-Tunable Absorptive Bandstop Filters.

Mark D. Hickle Dimitrios Peroulis

A SiGe BiCMOS Concurrent K/V Dual-Band 16-Way Power Divider and Combiner.

Kyoungwoon Kim Cam Nguyen

Analytic and Numerical Study of TCSC Devices: Unveiling the Crucial Role of Phase-Locked Loops.

Federico Bizzarri Angelo Maurizio Brambilla Federico Milano

A Digital Phase-Locked Loop With Background Supply Voltage Sensitivity Minimization.

Che-Wei Tien Shen-Iuan Liu

Analysis of Common-Mode Interference and Jitter of Clock Receiver Circuits With Improved Topology.

Xiaofeng Yang Yan Zhu Chi-Hang Chan Seng-Pan U Rui Paulo Martins

Design of High-Order Type-II Delay-Locked Loops With a Fast-Settling-Zero-Overshoot Step Response and Large Jitter-Rejection Capabilities.

Yan Li Gordon W. Roberts

A Fully Isolated Amplifier Based on Charge-Balanced SAR Converters.

Shaoyu Ma Jinghao Feng Tianting Zhao Baoxing Chen

A 250-MHz Pipelined ADC-Based fS/4 Noise-Shaping Bandpass ADC.

Vineeth Sarma Nevin Alex Jacob Bibhudatta Sahoo Venkateswaran Narayanaswamy Vikas Choudhary

How to Make Analog-to-Information Converters Work in Dynamic Spectrum Environments With Changing Sparsity Conditions.

Rabia Tugce Yazicigil Tanbir Haque Manoj Kumar Jeffery Yuan John Wright Peter R. Kinget


Volume 65-I, Number 5, May 2018
An Active Diode Full-Wave Charge Pump for Low Acceleration Infrastructure-Based Non-Periodic Vibration Energy Harvesting.

James McCullagh

A Sub-10 mV Power Converter With Fully Integrated Self-Start, MPPT, and ZCS Control for Thermoelectric Energy Harvesting.

Zhihong Luo Lei Zeng Benjamin Lau Yong Lian Chun-Huat Heng

Successive Approximation RF Reflectometer for Antenna Tuning in Cellular Handheld Devices.

Valentyn Solomko Rudiger Bauder Anthony Thomas

A 1.58 Gbps/W 0.40 Gbps/mm2 ASIC Implementation of MMSE Detection for $128\times 8~64$ -QAM Massive MIMO in 65 nm CMOS.

Guiqiang Peng Leibo Liu Sheng Zhou Shouyi Yin Shaojun Wei

Fault Detection for Linear Discrete Time-Varying Systems Subject to Random Sensor Delay: A Riccati Equation Approach.

Yueyang Li Hamid Reza Karimi Qin Zhang Dong Zhao Yibin Li

Leader-Following Consensus of Multi-Agent Systems With Switching Networks and Event-Triggered Control.

Kexin Liu Peihu Duan Zhisheng Duan Haibin Cai Jinhu Lu

Output Group Synchronization for Networks of Heterogeneous Linear Systems Under Internal Model Principle.

Qichao Ma Jiahu Qin Wei Xing Zheng Yu Kang

Brushing Up on the Urbanek Black Box Arc Model.

Federico Bizzarri Angelo Maurizio Brambilla

Improving Time-Efficiency of Fault-Coverage Simulation for MOS Analog Circuit.

Zhiqiang Liu Shravan K. Chaganti Degang Chen

Definition of Simplified Frequency-Domain Volterra Models With Quasi-Sinusoidal Input.

Marco Faifer Christian Laurano Roberto Ottoboni Marco Prioli Sergio Toscani Michele Zanoni

Data and Hardware Efficient Design for Convolutional Neural Network.

Yue-Jin Lin Tian Sheuan Chang

CIPRNG: A VLSI Family of Chaotic Iterations Post-Processings for $\mathbb {F}_{2}$ -Linear Pseudorandom Number Generation Based on Zynq MPSoC.

Mohammed Bakiri Jean-François Couchot Christophe Guyeux

Optimized Fundamental Signal Processing Operations For Energy Minimization on Heterogeneous Mobile Devices.

Jose A. Belloch José Manuel Badía-Contelles Francisco D. Igual Alberto González Enrique S. Quintana-Ortí

Joint Sparsity and Order Optimization Based on ADMM With Non-Uniform Group Hard Thresholding.

Ryo Matsuoka Seisuke Kyochi Shunsuke Ono Masahiro Okuda

A Low-Overhead Dynamic TCAM With Pipelined Read-Restore Refresh Scheme.

Sandeep Mishra Telajala Venkata Mahendra Jyotishman Saikia Anup Dandapat

Auto-Erasable RRAM Architecture Secured Against Physical and Firmware Attacks.

Fernando García-Redondo Marisa López-Vallejo

A Near-Threshold Voltage Oriented Digital Cell Library for High-Energy Efficiency and Optimized Performance in 65nm CMOS Process.

Jaehun Jun Jaegeun Song Chulwoo Kim

Exposure-Programmable CMOS Pixel With Selective Charge Storage and Code Memory for Computational Imaging.

Yi Luo Derek Ho Shahriar Mirabbasi

A Miniaturized Two-Axis Ultra Low Latency and Low-Power Sun Sensor for Attitude Determination of Micro Space Probes.

Lukasz Farian Philipp Häfliger Juan A. Leñero-Bardallo

An RF-Powered Wireless Temperature Sensor for Harsh Environment Monitoring With Non-Intermittent Operation.

Parvaneh Saffari Ali Basaligheh Vincent J. Sieben Kambiz Moez

Theory and Demonstration of Noisy Oscillator Samplers for Clock Jitter and Phase Delay Measurement.

Enkhbayasgalan Gantsog Alyssa B. Apsel

TIME - Tunable Inductors Using MEmristors.

Nicolás Wainstein Shahar Kvatinsky

Transformer-Based Input Integrated Matching in Cascode Amplifiers: Analytical Proofs.

Domenico Pepe Ilias Chlis Domenico Zito

The Impact of LO Phase Noise in N-Path Filters.

Thomas Tapen Zachariah Boynton Hazal Yüksel Alyssa B. Apsel Alyosha C. Molnar

Analysis of the Effect of Source Capacitance and Inductance on $N$ -Path Mixers and Filters.

Shanthi Pavan Eric A. M. Klumperink


Volume 65-I, Number 4, April 2018
A -8 mV/+15 mV Double Polarity Piezoelectric Transformer-Based Step-Up Oscillator for Energy Harvesting Applications.

Antonio Camarda Marco Tartagni Aldo Romani

Unified Digital Modulation Techniques for DC-DC Converters Over a Wide Operating Range: Implementation, Modeling, and Design Guidelines.

Bipin Chandra Mandi Santanu Kapat Amit Patra

A Fully Integrated Galvanically Isolated DC-DC Converter With Data Communication.

Egidio Ragonese Nunzio Spina Alessandro Castorina Pierpaolo Lombardo Nunzio Greco Alessandro Parisi Giuseppe Palmisano

A 9.52 dB NCG FEC Scheme and 162 b/Cycle Low-Complexity Product Decoder Architecture.

Carlo Condo Pascal Giard François Leduc-Primeau Gabi Sarkis Warren J. Gross

A Millimeter-Wave Fully Integrated Passive Reflection-Type Phase Shifter With Transformer-Based Multi-Resonance Loads for 360° Phase Shifting.

Tso-Wei Li Hua Wang

Min-Max Design of Error Feedback Quantizers Without Overloading.

Shuichi Ohno Yuma Ishihara Masaaki Nagahara

Event-Based Control for Network Systems via Integral Quadratic Constraints.

Yuan-Qing Wu Renquan Lu

Cooperative Output Regulation of Singular Multi-Agent Systems Under Switching Network by Standard Reduction.

Shimin Wang Jie Huang

Wave-Based Analysis of Large Nonlinear Photovoltaic Arrays.

Alberto Bernardini Paolo Maffezzoni Luca Daniel Augusto Sarti

An Architecture to Accelerate Convolution in Deep Neural Networks.

Arash Ardakani Carlo Condo Mehdi Ahmadi Warren J. Gross

Power-Handling Capacity and Nonlinearity Analysis for Distributed Electronic Impedance Synthesizer.

Yangping Zhao Simon Hemour Hao Chen Taijun Liu Ke Wu

Memristor Circuits: Pulse Programming via Invariant Manifolds.

Fernando Corinto Mauro Forti

Current Mirror Array: A Novel Circuit Topology for Combining Physical Unclonable Function and Machine Learning.

Zheng Wang Yi Chen Aakash Patil Jayasanker Jayabalan Xueyong Zhang Chip-Hong Chang Arindam Basu

A Variational Approach for Designing Infinite Impulse Response Filters With Time-Varying Parameters.

Karel Toledo de la Garza Jorge Torres Gómez Rodrigo C. de Lamare M. Julia Fernández-Getino García

Reducing the Power Consumption of Fault Tolerant Registers Through Hybrid Protection.

Ricardo Gonzalez-Toral Shanshan Liu Pedro Reviriego Juan Antonio Maestro

True Random Number Generator Based on Flip-Flop Resolve Time Instability Boosted by Random Chaotic Source.

Piotr Zbigniew Wieczorek Krzysztof Golofit

Dynamic Reference Voltage Sensing Scheme for Read Margin Improvement in STT-MRAMs.

Kien Trinh Quang Sergio Ruocco Massimo Alioto

A 128 kb 7T SRAM Using a Single-Cycle Boosting Mechanism in 28-nm FD-SOI.

Babak Mohammadi Oskar Andersson Joseph Nguyen Lorenzo Ciampolini Andreia Cathelin Joachim Neves Rodrigues

A 4-Transistor nMOS-Only Logic-Compatible Gain-Cell Embedded DRAM With Over 1.6-ms Retention Time at 700 mV in 28-nm FD-SOI.

Robert Giterman Alexander Fish Andreas Burg Adam Teman

Novel Time-Domain Schottky Diode Modeling for Microwave Rectifier Designs.

Jun-hui Ou Shao Yong Zheng Andrey S. Andrenko Yuanxin Li Hong-Zhou Tan

A Low Noise Low Offset Readout Circuit for Magnetic-Random-Access-Memory.

Anatoli Mordakhay Yevgeniy Telepinsky Lior Klein Joseph Shor Alexander Fish

BiCMOS-Based Compensation: Toward Fully Curvature-Corrected Bandgap Reference Circuits.

Yi Huang Li Zhu Fanpeng Kong Chun Cheung Laleh Najafizadeh

A Gm-C Delta-Sigma Modulator With a Merged Input-Feedback Gm Circuit for Nonlinearity Cancellation and Power Efficiency Enhancement.

Debajit Basak Daxiang Li Kong-Pang Pun

Analysis and Design of a Ripple Reduction Chopper Bandpass Amplifier.

Jiawei Zheng Wing-Hung Ki Chi-Ying Tsui

An Analogue Neuromorphic Co-Processor That Utilizes Device Mismatch for Learning Applications.

Chetan Singh Thakur Runchun Wang Tara Julia Hamilton Ralph Etienne-Cummings Jonathan Tapson André van Schaik

Comprehensive Analysis of Distortion in the Passive FET Sample-and-Hold Circuit.

Tetsuya Iizuka Takaaki Ito Asad A. Abidi


Volume 65-I, Number 3, March 2018
High-Efficiency Charge Pumps for Low-Power On-Chip Applications.

Xiaoxue Jiang Xiaojian Yu Kambiz Moez Duncan G. Elliott Jie Chen

A Cartesian Error Feedback Architecture.

Jinbo Li Zhiwei Xu Wei Hong Qun Jane Gu

VLSI Designs for Joint Channel Estimation and Data Detection in Large SIMO Wireless Systems.

Oscar Castañeda Tom Goldstein Christoph Studer

A Sub-mW Integrating Mixer SAR Spectrum Sensor for Portable Cognitive Radio Applications.

Kevin Banovic Anthony Chan Carusone

A 5 pJ/pulse at 1-Gpps Pulsed Transmitter Based on Asynchronous Logic Master-Slave PLL Synthesis.

Marco Crepaldi Gian Nicola Angotzi Antonio Maviglia Francesco Diotalevi Luca Berdondini

A Variation-Aware Timing Modeling Approach for Write Operation in Hybrid CMOS/STT-MTJ Circuits.

Raffaele De Rose Marco Lanuzza Felice Crupi Giulio Siracusano Riccardo Tomasello Giovanni Finocchio Mario Carpentieri Massimo Alioto

Mono3D: Open Source Cell Library for Monolithic 3-D Integrated Circuits.

Chen Yan Emre Salman

An ATPG Method for Double Stuck-At Faults by Analyzing Propagation Paths of Single Faults.

Peikun Wang Conrad J. Moore Amir Masoud Gharehbaghi Masahiro Fujita

Factoring Integers With a Brain-Inspired Computer.

John V. Monaco Manuel M. Vindiola

Complex Dynamics in Arrays of Memristor Oscillators via the Flux-Charge Method.

Fernando Corinto Mauro Forti

Design of Synthetic Central Pattern Generators Producing Desired Quadruped Gaits.

Matteo Lodi Andrey Shilnikov Marco Storace

Adaptive Matrix Design for Boosting Compressed Sensing.

Mauro Mangia Fabio Pareschi Riccardo Rovatti Gianluca Setti

Anomaly Detection in Moving-Camera Video Sequences Using Principal Subspace Analysis.

Lucas A. Thomaz Eric Jardim Allan F. da Silva Eduardo A. B. da Silva Sergio L. Netto Hamid Krim

Single Underwater Image Restoration Using Adaptive Attenuation-Curve Prior.

Yi Wang Hui Liu Lap-Pui Chau

Design of Least-Squares and Minimax Composite Filters.

Wu-Sheng Lu Takao Hinamoto

Expected Value and Variance of the Indirect Time-of-Flight Measurement With Dead Time Afflicted Single-Photon Avalanche Diodes.

Maik Beer Olaf M. Schrey Bedrich J. Hosticka Rainer Kokozinski

On Enhancing Reliability of Weak PUFs via Intelligent Post-Silicon Accelerated Aging.

Md. Nazmul Islam Vinay C. Patil Sandip Kundu

A Generalized Approach to Implement Efficient CMOS-Based Threshold Logic Functions.

Seyed Nima Mozaffari Spyros Tragoudas Themistoklis Haniotakis

A 0.4-V 0.66-fJ/Cycle Retentive True-Single-Phase-Clock 18T Flip-Flop in 28-nm Fully-Depleted SOI CMOS.

François Stas David Bol

Real-Time Depth From Focus on a Programmable Focal Plane Processor.

Julien N. P. Martel Lorenz K. Müller Stephen J. Carey Jonathan Müller Yulia Sandamirskaya Piotr Dudek

PLL-Based Wideband Frequency Modulator: Two-Point Injection Versus Pre-Emphasis Technique.

Dmytro Cherniak Carlo Samori Roberto Nonis Salvatore Levantino

A Sub-1ppm/°C Current-Mode CMOS Bandgap Reference With Piecewise Curvature Compensation.

Ruocheng Wang Wengao Lu Meng Zhao Yuze Niu Zhaokai Liu Yacong Zhang Zhongjian Chen

Design and Analysis of 2.4 GHz 30~µW CMOS LNAs for Wearable WSN Applications.

Ehsan Kargaran Danilo Manstretta Rinaldo Castello

A 12-b 40-MS/s Calibration-Free SAR ADC.

Chung-Wei Hsu Soon-Jyh Chang Chun-Po Huang Li-Jen Chang Ya-Ting Shyu Chih-Huei Hou Hwa-An Tseng Chih-Yuan Kung Huan-Jui Hu

A Self-Test on Wafer Level for a MEM Gyroscope Readout Based on ΔΣ Modulation.

Sebastian Nessler Maximilian Marx Yiannos Manoli

Analysis and Demonstration of an IIP3 Improvement Technique for Low-Power RF Low-Noise Amplifiers.

Chun-hsiang Chang Marvin Onabajo

Guest Editorial Special Issue on the 2017 IEEE International Symposium on Circuits and Systems (ISCAS 2017).

Fabio Pareschi Felix Lustenberger Håkan Johansson Joseph R. Cavallaro


Volume 65-I, Number 2, February 2018
Fifth-Order T-Type Passive Resonant Tanks Tailored for Constant Current Resonant Converters.

Alireza Khoshsaadat Javad Shokrollahi Moghani

Optimized Active Disturbance Rejection Control for DC-DC Buck Converters With Uncertainties Using a Reduced-Order GPI Observer.

Jun Yang Hongyu Cui Shihua Li Argyrios C. Zolotas

Online Built-In Self-Test of High Switching Frequency DC-DC Converters Using Model Reference Based System Identification Techniques.

Navankur Beohar Venkata N. K. Malladi Debashis Mandal Sule Ozev Bertan Bakkaloglu

An Efficient Self-Powered Piezoelectric Energy Harvesting CMOS Interface Circuit Based on Synchronous Charge Extraction Technique.

Shi Ge Yinshui Xia Xiudeng Wang Libo Qian Yidie Ye Qing Li

A Switched Capacitor Energy Harvester Based on a Single-Cycle Criterion for MPPT to Eliminate Storage Capacitor.

Xiaosen Liu Krishnan Ravichandran Edgar Sánchez-Sinencio

Analysis of Ranging Precision in an FMCW Radar Measurement Using a Phase-Locked Loop.

Frank Herzel Dietmar Kissinger Herman Jalli Ng

A Low Complexity Sparse Code Multiple Access Detector Based on Stochastic Computing.

Kaining Han Jianhao Hu Jienan Chen Hao Lu

A Modified All-Digital Polar PWM Transmitter.

Muhammad Touqir Pasha Muhammad Fahim Ul Haque Jahanzeb Ahmad Ted Johansson

400-MHz/2.4-GHz Combo WPAN Transceiver IC for Simultaneous Dual-Band Communication With One Single Antenna.

Zhaoyang Weng Hanjun Jiang Jingjing Dong Yang Li Jingyi Zheng Yiyu Shen Fule Li Woogeun Rhee Zhihua Wang

Analysis and Design of a Passive Receiver Front-End Using an Inductive Antenna Impedance.

Yao Liu Wouter A. Serdijn

Event-Triggered Protocol for the Consensus of Multi-Agent Systems With State-Dependent Nonlinear Coupling.

Qiang Jia Wallace K. S. Tang

Tap Delay-and-Accumulate Cost Aware Coefficient Synthesis Algorithm for the Design of Area-Power Efficient FIR Filters.

Jiajia Chen Chip-Hong Chang Jiatao Ding Rui Qiao Mathias Faust

A Ring Oscillator-Based Identification Mechanism Immune to Aging and External Working Conditions.

Mario Barbareschi Giorgio Di Natale Lionel Torres Antonino Mazzeo

Homeostatic Fault Tolerance in Spiking Neural Networks: A Dynamic Hardware Perspective.

Anju P. Johnson Junxiu Liu Alan G. Millard Shvan Karim Andy M. Tyrrell Jim Harkin Jon Timmis Liam McDaid David M. Halliday

Memristor-Based Circuit Design for Multilayer Neural Networks.

Yang Zhang Xiaoping Wang Eby G. Friedman

Cloud Computing-Based Non-Invasive Glucose Monitoring for Diabetic Care.

Praful P. Pai Pradyut Kumar Sanki Sudeep K. Sahoo Arijit De Sourangshu Bhattacharya Swapna Banerjee

De-Correlated Improved Adaptive Exponential FLAF-Based Nonlinear Adaptive Feedback Cancellation for Hearing Aids.

Vasundhara Niladri B. Puhan Ganapati Panda

A Family of Adaptive Decorrelation NLMS Algorithms and Its Diffusion Version Over Adaptive Networks.

Sheng Zhang Hing-Cheung So Wen Mi Hongyu Han

Closed-Form Design of Variable Fractional-Delay FIR Filters With Low or Middle Cutoff Frequencies.

Xiangdong Huang Bo Zhang Haohua Qin Wenxing An

Design and Implementation of Low Complexity 2-D Variable Digital FIR Filters Using Single-Parameter-Tunable 2-D Farrow Structure.

T. Bindima Elizabeth Elias

High-Speed Low-Complexity Guided Image Filtering-Based Disparity Estimation.

Charan Kumar Vala Koushik Immadisetty Amit Acharyya Charles Leech Vibishna Balagopal Geoff V. Merrett Bashir M. Al-Hashimi

Methods for Estimating the Convergence of Inter-Chip Min-Entropy of SRAM PUFs.

Hailong Liu Wenchao Liu Zhaojun Lu Qiaoling Tong Zhenglin Liu

Feedforward FFT Hardware Architectures Based on Rotator Allocation.

Mario Garrido Shen-Jui Huang Sau-Gee Chen

A 0.55 V 1.1 mW Artificial Intelligence Processor With On-Chip PVT Compensation for Autonomous Mobile Robots.

Youchang Kim Dongjoo Shin Jinsu Lee Yongsu Lee Hoi-Jun Yoo

Analog Frontend for Tribo-Current-Based Fly-Height Sensor for Magnetic Hard Disk Drive.

Arup Polley Pankaj Pandey Bryan E. Bloodworth Costin Cazana

A Power-Efficient Reconfigurable OTA-C Filter for Low-Frequency Biomedical Applications.

Sheng-Yu Peng Yu-Hsien Lee Tzu-Yun Wang Hui-Chun Huang Min-Rui Lai Chiang-Hsi Lee Li-Han Liu

On the Remarkable Performance of the Series-Resonance CMOS Oscillator.

Federico Pepe Andrea Bevilacqua Pietro Andreani

Amplifier Innovations for Improvement of Rotary Traveling Wave Oscillators.

Andrey Martchovsky Kenneth D. Pedrotti

A Low-Power Low-Noise Decade-Bandwidth Switched Transconductor Mixer With AC-Coupled LO Buffers.

Hao Li Ahmed M. El-Gabaly Carlos E. Saavedra

A Silicon-Based Low-Power Broadband Transimpedance Amplifier.

Alireza Karimi-Bidhendi Hossein Mohammadnezhad Michael M. Green Payam Heydari

A Low-Reference Spur MDLL-Based Clock Multiplier and Derivation of Discrete-Time Noise Transfer Function for Phase Noise Analysis.

Geum-Young Tak Kwyro Lee

Non-Uniform Wavelet Sampling for RF Analog-to-Information Conversion.

Michaël Pelissier Christoph Studer

Analysis and Background Self-Calibration of Comparator Offset in Loop-Unrolled SAR ADCs.

Shaolong Liu Taimur Gibran Rabuske Jeyanandh Paramesh Lawrence T. Pileggi Jorge R. Fernandes

A Pulse Frequency Modulation Interpretation of VCOs Enabling VCO-ADC Architectures With Extended Noise Shaping.

Eric Gutierrez Luis Hernández Fernando Cardes Pieter Rombouts

Continuous-Time Delta-Sigma Modulators With Time-Interleaved FIR Feedback.

Ankesh Jain Shanthi Pavan

Adaptive Cancellation of Static and Dynamic Mismatch Error in Continuous-Time DACs.

Derui Kong Ian Galton


Volume 65-I, Number 1, January 2018
RF-Only Logic: an Area Efficient Logic Family for RF-Power Harvesting Applications.

Wenxu Zhao Peter Gadfort Kirti Bhanushali Paul D. Franzon

A Noise-Shaped Randomized Modulation for Switched-Mode DC-DC Converters.

Cui Keer Victor Adrian Bah-Hwee Gwee Joseph S. Chang

IC Design and Measurement of an Inductorless 48 V DC/DC Converter in Low-Cost CMOS Technology Facing Harsh Environments.

Sergio Saponara Gabriele Ciarpi

Design and Analysis of Energy-Efficient Single-Pulse Piezoelectric Energy Harvester and Power Management IC for Battery-Free Wireless Remote Switch Applications.

Minbok Lee Joonseok Yang Myeong-Jae Park Sung-Youb Jung Jaeha Kim

Decision-Directed Retention-Failure Recovery With Channel Update for MLC NAND Flash Memory.

Chaudhry Adnan Aslam Yong Liang Guan Kui Cai

Digital Complex Delta-Sigma Modulators With Highly Configurable Notches for Multi-Standard Coexistence in Wireless Transmitters.

Razvan-Cristian Marin Antoine Frappé Andreas Kaiser

A 1-V 10-Gb/s/pin Single-Ended Transceiver With Controllable Active-Inductor-Based Driver and Adaptively Calibrated Cascaded-Equalizer for Post-LPDDR4 Interfaces.

Junyoung Song Sewook Hwang Hyun-Woo Lee Chulwoo Kim

System Analysis of Six-Port-Based RF-Receivers.

Marko Mailand

Energy Optimization for Data Allocation With Hybrid SRAM+NVM SPM.

Yan Wang Kenli Li Jun Zhang Keqin Li

Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV.

Victor M. van Santen Javier Martín-Martínez Hussam Amrouch Montserrat Nafría Jörg Henkel

Modeling and Identification of Ultra-Wideband Analog Multipliers.

Andreas Pedross-Engel Hermann Schumacher Klaus Witrisal

Modeling and Analysis of Passive Switching Crossbar Arrays.

Mohammed E. Fouda Ahmed M. Eltawil Fadi J. Kurdahi

Globally Optimal Matching Networks With Lossy Passives and Efficiency Bounds.

ChandraKanth R. Chappidi Kaushik Sengupta

Towards a Dependable True Random Number Generator With Self-Repair Capabilities.

Honorio Martín Giorgio Di Natale Luis Entrena

One-Dimensional Nonlinear Model for Producing Chaos.

Zhongyun Hua Yicong Zhou

Generalized Analytical Equations for Injected Ring Oscillator With RC-Load.

Ali Reza Hazeri Hossein Miar Naimi

Decision Tree and Random Forest Implementations for Fast Filtering of Sensor Data.

Sebastian Buschjäger Katharina Morik

A Reconfigurable Streaming Deep Convolutional Neural Network Accelerator for Internet of Things.

Li Du Yuan Du Yilei Li Junjie Su Yen-Cheng Kuan Chun-Chen Liu Mau-Chung Frank Chang

An Algorithm of an X-ray Hit Allocation to a Single Pixel in a Cluster and Its Test-Circuit Implementation.

Grzegorz Deptuch Farah Fahim Pawel Grybos Jim Hoff Scott Holm Piotr Maj David Peter Siddons Piotr Kmon Marcel Trimpl Tom Zimmerman

Range Mapping - A Fresh Approach to High Accuracy Mitchell-Based Logarithmic Conversion Circuit Design.

Joshua Yung Lih Low Ching-Chuen Jong

Data-Cell-Variation-Tolerant Dual-Mode Sensing Scheme for Deep Submicrometer STT-RAM.

Taehui Na Byungkyu Song Jung Pill Kim Seung-Hyuk Kang Seong-Ook Jung

Improved Algorithms and Implementations for Integer to τ NAF Conversion for Koblitz Curves.

Lijuan Li Shuguo Li

Parallel Balanced-Bit-Serial Design Technique for Ultra-Low-Voltage Circuits With Energy Saving and Area Efficiency Enhancement.

Bing-Chen Wu I-Chyn Wey

A Computationally Efficient Reconfigurable Constant Multiplication Architecture Based on CSD Decoded Vertical-Horizontal Common Sub-Expression Elimination Algorithm.

Indranil Hatai Indrajit Chakrabarti Swapna Banerjee

VLSI Design and Implementation of Reconfigurable 46-Mode Combined-Radix-Based FFT Hardware Architecture for 3GPP-LTE Applications.

Xin-Yu Shih Hong-Ru Chou Yue-Qu Liu

Monolithic Airflow Detection Chip With Automatic DC Offset Calibration.

Ming-Ke Tsai Tse-An Chen Heng-Yu Chiu Tse-Wei Wu Chia-Ling Wei

A 0.55-V, 28-ppm/°C, 83-nW CMOS Sub-BGR With UltraLow Power Curvature Compensation.

Lianxi Liu Junchao Mu Zhangming Zhu

A Low-Noise CMOS Image Sensor With Digital Correlated Multiple Sampling.

Nan Chen Shengyou Zhong Mei Zou Jiqing Zhang Zhongshun Ji Libin Yao

Time-to-Digital Converter With Sample-and-Hold and Quantization Noise Scrambling Using Harmonics in Ring Oscillators.

Juan Pablo Caram Jeff Galloway J. Stevenson Kenney

An 11-Bit 250-nW 10-kS/s SAR ADC With Doubled Input Range for Biomedical Applications.

Mahmoud Sadollahi Koichi Hamashita Kazuki Sobue Gabor C. Temes

A Reconfigurable 10-to-12-b 80-to-20-MS/s Bandwidth Scalable SAR ADC.

Yi Shen Zhangming Zhu Shubin Liu Yintang Yang

1.5-3.3 GHz, 0.0077 mm2, 7 mW All-Digital Delay-Locked Loop With Dead-Zone Free Phase Detector in 0.13~µm CMOS.

Erkan Bayram Ahmed Farouk Aref Mohamed Saeed Elsayed Renato Negra

Wideband Inductorless Low-Power LNAs with Gm Enhancement and Noise-Cancellation.

Zhijian Pan Chuan Qin Zuochang Ye Yan Wang Zhiping Yu

A 0.7-2.5 GHz, 61% EIRP System Efficiency, Four-Element MIMO TX System Exploiting Integrated Power-Relaxed Power Amplifiers and an Analog Spatial De-Interleaver.

Wei-Han Yu Ka-Fai Un Pui-In Mak Rui Paulo Martins

Theory of Double Ladder Lumped Circuits With Degenerate Band Edge.

Jeff T. Sloan Mohamed A. K. Othman Filippo Capolino

New Year Editorial.

Andreas Demosthenous