Volume 66-I, Number 12, December 2019
A Non-Invasive Method for Estimating Circuit and Control Parameters of Voltage Source Converters.

Bing Hao Lin Jin Tong Tsai Kuo-Lung Lian

An LLC-Type Resonant Forward Converter With Adjustable Turning-Off Time Control.

Chenghui Qian Ting Qian

A Dual-Stage Boost Converter Using Two- Dimensional Adaptive Input-Sampling MPPT for Thermoelectric Energy Harvesting.

Seneke Chamith Chandrarathna Jong-Wook Lee

A Switched Capacitor Multiple Input Single Output Energy Harvester (Solar + Piezo) Achieving 74.6% Efficiency With Simultaneous MPPT.

Abhishekh Devaraj Mohamed Megahed Yutao Liu Ashwin Ramachandran Tejasvi Anand

An RF-to-DC Rectifier With High Efficiency Over Wide Input Power Range for RF Energy Harvesting Applications.

Parvaneh Saffari Ali Basaligheh Kambiz Moez

A 40-Gb/s PAM-4 Transmitter Using a 0.16-pJ/bit SST-CML-Hybrid (SCH) Output Driver and a Hybrid-Path 3-Tap FFE Scheme in 28-nm CMOS.

Chao Fan Wei-Han Yu Pui-In Mak Rui Paulo Martins

Global High-Order Sliding Mode Controller Design Subject to Mismatched Terms: Application to Buck Converter.

Shang Shi Shengyuan Xu Jason Gu Huifang Min

Adaptive Double Event-Triggered Control for Linear Multi-Agent Systems With Actuator Faults.

Shengping Luo Dan Ye

Event-Based Security Control for State-Dependent Uncertain Systems Under Hybrid-Attacks and Its Application to Electronic Circuits.

Jinliang Liu Meng Yang Engang Tian Jie Cao Shumin Fei

Phase-Inversion Waves Propagating in an In-Phase Synchronization on Oscillators Coupled as a Cross.

Mikiya Tanaka Masayuki Yamauchi Yoshifumi Nishio

A Novel Universal Interface for Constructing Memory Elements for Circuit Applications.

Ciyan Zheng Dongsheng Yu Herbert Ho-Ching Iu Tyrone Fernando Tingting Sun Jason Kamran Eshraghian Hengdao Guo

Higher Dimensional Baker Map and its Digital Implementation With LSB-Extension Method.

Ismail Öztürk Recai Kiliç

Generating Multi-Scroll Chua's Attractors via Simplified Piecewise-Linear Chua's Diode.

Ning Wang Chengqing Li Han Bao Mo Chen Bocheng Bao

Efficient Implementation of Iterative Polynomial Matrix EVD Algorithms Exploiting Structural Redundancy and Parallelisation.

Fraser K. Coutts Ian K. Proudler Stephan Weiss

Matrix-Based Algorithms for the Optimal Design of Variable Fractional Delay FIR Filters.

Ruijie Zhao Xiaoying Hong

Approximate Designs for Fast Fourier Transform (FFT) With Application to Speech Recognition.

Weiqiang Liu Qicong Liao Fei Qiao Weijie Xia Chenghua Wang Fabrizio Lombardi

A Design of Input-Decimation Technique for Recursive DFT/IDFT Algorithm.

Chih-Feng Wu Chun-Hung Chen Muh-Tian Shiue

Robust and Lightweight Ensemble Extreme Learning Machine Engine Based on Eigenspace Domain for Compressed Learning.

Huai-Ting Li Ching-Yao Chou Yi-Ta Chen Sheng-Hui Wang An-Yeu Wu

OCTAN: An On-Chip Training Algorithm for Memristive Neuromorphic Circuits.

Mohammad Ansari Arash Fayyazi Mehdi Kamal Ali Afzali-Kusha Massoud Pedram

Low Complexity Generic VLSI Architecture Design Methodology for Nth Root and Nth Power Computations.

Suresh Mopuri Amit Acharyya

MRAM-Enhanced Low Power Reconfigurable Fabric With Multi-Level Variation Tolerance.

Ramtin Zand Ronald F. DeMara

An Ultra-Low Power Binarized Convolutional Neural Network-Based Speech Recognition Processor With On-Chip Self-Learning.

Shixuan Zheng Peng Ouyang Dandan Song Xiudong Li Leibo Liu Shaojun Wei Shouyi Yin

A Coupled Line-Based Coupler With Simultaneously Tunable Phase and Frequency.

Bo Wei Xu Shao Yong Zheng Weimin Wang Yongle Wu Yuan'an Liu

FOPAC: Flexible On-Chip Power and Clock.

Ragh Kuttappa Selçuk Köse Baris Taskin

Design of Cryogenic LNAs for High Linearity in Space Applications.

Alican Çaglar Mustafa Berke Yelten

Reflectionless Filters for Generalized Elliptic Transmission Functions.

Augusto Guilabert Matthew A. Morgan Tod A. Boyd

A Highly Linear Multi-Level SC DAC in a Power-Efficient Gm-C Continuous-Time Delta-Sigma Modulator.

Yang Zhang Debajit Basak Kong-Pang Pun

Single-Bin DFT-Based Digital Calibration Technique for CDAC in SAR ADCs.

Shuenn-Yuh Lee Chieh Tsou Yu-Cheng Li

Digital Calibration of Elements Mismatch in Multirate Predictive SAR ADCs.

Hamidreza Mafi Mostafa Yargholi Mohammad Yavari Shahriar Mirabbasi

In-Depth Analysis of Pole-Zero Compensations in CMOS Operational Transconductance Amplifiers.

Gianluca Giustolisi Gaetano Palumbo

Outgoing Editorial.

Andreas Demosthenous


Volume 66-I, Number 11, November 2019
A Receiver-Controlled Coupler for Multiple Output Wireless Power Transfer Applications.

Xu Chen Shengbao Yu Zhe Zhang

Mitigating Line Frequency Instability of Boost PFC Converter Under Proportional Outer-Voltage Loop With Additional Third Current-Harmonic Feedforward Compensation.

Weiguo Lu Jinxin Han Shaoling Li Herbert Ho-Ching Iu

A 97% Maximum Efficiency Fully Automated Control Turbo Boost Topology for Battery Chargers.

Balakumar Muniandi Chao-Jen Huang Chun-Chieh Kuo Te-Fu Yang Ke-Horng Chen Ying-Hsi Lin Shian-Ru Lin Tsung-Yen Tsai

A 10-MHz Hysteretic-Controlled Buck Converter With Single On/Off Reference Tracking Using Turning-Point Prediction for DVFS Application.

Sijie Pan Philip K. T. Mok

Reliable Sliding Mode Control of Fast Sampling Singularly Perturbed Systems: A Redundant Channel Transmission Protocol Approach.

Jun Song Yugang Niu Hak-Keung Lam

Low-Routing-Complexity Convolutional/Turbo Decoder Design for Iterative Detection and Decoding Receivers.

Cheng-Hung Lin Ching-Wen Hsieh

Energy-Efficient Symmetric BC-BCH Decoder Architecture for Mobile Storages.

Seokha Hwang Seungsik Moon Jaehwan Jung Daesung Kim In-Cheol Park Jeongseok Ha Youngjoo Lee

Hardware-Efficient and Fast Sensing-Time Maximum-Minimum-Eigenvalue-Based Spectrum Sensor for Cognitive Radio Network.

Rohit B. Chaurasiya Rahul Shrestha

An 18 nW -47/-40 dBm Sensitivity 3/100 kbps MEMS-Assisted CMOS Wake-Up Receiver.

Mazen Soliman Abhay Kochhar Hoda Abdelsalam Flavius V. Pop Gabriel Vidal-Álvarez Jeffrey Weldon Gianluca Piazza Jeyanandh Paramesh

Synchronization of Multi-Agent Systems With Time-Varying Control and Delayed Communications.

Qiang Jia Zeyu Han Wallace K. S. Tang

On Extension of Effective Resistance With Application to Graph Laplacian Definiteness and Power Network Stability.

Yue Song David J. Hill Tao Liu

Complementarity Model of a Photovoltaic Power Electronic System With Model Predictive Control.

Rodrigo Morfin Magaña J. Jesús Rico-Melgoza Fernando Ornelas-Tellez Francesco Vasca

Enhancing Transient Stability of DC Microgrid by Enlarging the Region of Attraction Through Nonlinear Polynomial Droop Control.

Bernardo Severino Kai Strunz

Observer-Based Fault Estimation for Discrete-Time Nonlinear Systems and Its Application: A Weighted Switching Approach.

Xiangpeng Xie Dong Yue Ju H. Park

Effective Resistance of Two-Dimensional Truncated Infinite Mesh Structures.

Rassul Bairamkulov Eby G. Friedman

Leveraging Independent Double-Gate FinFET Devices for Machine Learning Classification.

Farid Kenarangi Inna Partin-Vaisband

A Family of Stateful Memristor Gates for Complete Cascading Logic.

Kyung Min Kim R. Stanley Williams

Toward Designing Thermally-Aware Memristance Decoder.

Thanasin Bunnam Ahmed Soltan Danil Sokolov Oleg V. Maevsky Alex Yakovlev

Cascading Failure of Cyber-Coupled Power Systems Considering Interactions Between Attack and Defense.

Dong Liu Chi K. Tse

Synthesis and Realization of Two-Dimensional Separable Denominator Orthogonal Systems via Decomposition Into 1-D Systems.

Robert T. Wirski

Approximated Core Transform Architectures for HEVC Using WHT-Based Decomposition Method.

Subiman Chatterjee Kishor Sarawadekar

On the Order Minimization of Interpolated Bandpass Method Based Narrow Transition Band FIR Filter Design.

Subhabrata Roy Abhijit Chandra

A Modulo-FIR Equalizer for Wireline Communications.

Gyu-Seob Jeong Byungjun Kang Haram Ju Kwanseo Park Deog-Kyoon Jeong

Kernel Kalman Filtering With Conditional Embedding and Maximum Correntropy Criterion.

Lujuan Dang Badong Chen Shiyuan Wang Yuantao Gu José C. Príncipe

ANOVA Kernel Kalman Filter for Multi-Objective Grid Integrated Solar Photovoltaic-Distribution Static Compensator.

Nishant Kumar Bhim Singh Bijaya Ketan Panigrahi

Convergence Analysis of Deficient-Length Frequency-Domain Adaptive Filters.

Feiran Yang Jun Yang

A Fast On-Chip SVM-Training System With Dual-Mode Configurable Pipelines and MSMO Scheduler.

Lichen Feng Zunchao Li Yuanfa Wang Chuang Wang

A Family of Compact Non-Volatile Flip-Flops With Ferroelectric FET.

Abdullah Ash-Saki Sung-Hao Lin Mahabubul Alam Sandeep Krishna Thirumala Sumeet Kumar Gupta Swaroop Ghosh

ARMv8 SIKE: Optimized Supersingular Isogeny Key Encapsulation on ARMv8 Processors.

Amir Jalali Reza Azarderakhsh Mehran Mozaffari Kermani Matthew Campagna David Jao

A Majority-Based Imprecise Multiplier for Ultra-Efficient Approximate Image Multiplication.

Farnaz Sabetzadeh Mohammad Hossein Moaiyeri Mohammad Ahmadinejad

A Secure Data-Toggling SRAM for Confidential Data Protection.

Weng-Geng Ho Kwen-Siong Chong Tony Tae-Hyoung Kim Bah-Hwee Gwee

A Dual-Split 6T SRAM-Based Computing-in-Memory Unit-Macro With Fully Parallel Product-Sum Operation for Binarized DNN Edge Processors.

Xin Si Win-San Khwa Jia-Jing Chen Jia-Fang Li Xiaoyu Sun Rui Liu Shimeng Yu Hiroyuki Yamauchi Qiang Li Meng-Fan Chang

A Digitally Programmable CMOS Feedback ASIC for Highly Stable MEMS-Referenced Oscillators.

Mohammad S. Islam Siddharth K. Singh George Xereas Vamsy P. Chodavarapu Soumyajit Mandal

A Differential Push-Pull Voltage Mode VCSEL Driver in 65-nm CMOS.

Ajith Sivadhasan Ramani Spoorthi Nayak Sudip Shekhar

Advantages of Second-Order Cartesian Feedback Linearizers for Radio Amplifiers.

James K. Cavers Kishore Mehrotra Graeme K. Woodward

256 × 8 SPAD Array With 256 Column TDCs for a Line Profiling Laser Radar.

Pekka Keränen Juha Kostamovaara

Prediction of Phase Noise and Spurs in a Nonlinear Fractional-N Frequency Synthesizer.

Yann Donnelly Michael Peter Kennedy

Digitally Adaptive High-Fidelity Analog Array Signal Processing Resilient to Capacitive Multiplying DAC Inter-Stage Gain Error.

Siddharth Joshi Chul Kim Chris M. Thomas Gert Cauwenberghs


Volume 66-I, Number 10, October 2019
Design Optimization for Low-Power Reconfigurable Switched-Capacitor DC-DC Voltage Converter.

Ahmed M. Mohey Sameh A. Ibrahim Ismail M. Hafez HyungWon Kim

Bidirectional Single-Inductor Dual-Supply Converter With Automatic State-Transition for IoT Applications.

Hung-Hsien Wu Chi-Hsiang Huang Chia-Ling Wei Jih-Sheng Lai

Output Control Techniques for Dual-Frequency SIMO Buck Converters.

Yongjie Jiang Sita Asar Muhammad Swilam Ahmed Hua Zhang Ayman A. Fayed

Novel Data Pre-Distorter for APSK Signals in Solid-State Power Amplifiers.

Maria Jesus Canavate Sanchez Andrea Segneri Savvas A. Kosmopoulos Qiuming Zhu Theodoros A. Tsiftsis Apostolos Georgiadis George Goussetis

Efficient Post-Processors for Improving Error-Correcting Performance of LDPC Codes.

Yaoyu Tao Shuanghong Sun Zhengya Zhang

Efficient Architectures for Generalized Integrated Interleaved Decoder.

Xinmiao Zhang Zhenshan Xie

Joint Detection and Decoding of Polar-Coded OFDM-IDMA Systems.

Xiangyun Deng Jin Sha Xiaotian Zhou Yuxiang Fu Zaichen Zhang Xiaohu You Chuan Zhang

A 0.0071-mm2 10.8pspp-Jitter 4 to 10-Gb/s 5-Tap Current-Mode Transmitter Using a Hybrid Delay Line for Sub-1-UI Fractional De-Emphasis.

Yong Chen Pui-In Mak Zunsong Yang Chirn Chye Boon Rui Paulo Martins

Linear Consequence-Based Fuzzy Parallel Distributed Compensation Type L1 Adaptive Controller for Two Link Robot Manipulator.

Roshni Maiti Kaushik Das Sharma Gautam Sarkar

Almost Output Regulation for Switched Positive Systems With Different Coordinates Transformations and its Application to a Positive Circuit Model.

Peng Wang Jun Zhao

Robust Active Noise Control Design by Optimal Weighted Least Squares Approach.

Muhammad Saeed Aslam Peng Shi Cheng-Chew Lim

Continuous-Time Algorithms for Solving Maxwell's Equations Using Analog Circuits.

Nilan Udayanga S. I. Hariharan Soumyajit Mandal Leonid Belostotski Len T. Bruton Arjuna Madanayake

Robust Pinning Constrained Control and Adaptive Regulation of Coupled Chua's Circuit Networks.

Xiao-Zheng Jin Chengcheng Jiang Jiahu Qin Wei Xing Zheng

Colored Noise in Oscillators. Phase-Amplitude Analysis and a Method to Avoid the itô-Stratonovich Dilemma.

Michele Bonnin Fabio L. Traversa Fabrizio Bonani

On Learning With Nonlinear Memristor-Based Neural Network and its Replication.

Changju Yang Shyam Prasad Adhikari Hyongsuk Kim

Energy-Efficient Spectral Analysis Method Using Autoregressive Model-Based Approach for Internet of Things.

Seiya Yoshida Shintaro Izumi Koichi Kajihara Yuji Yano Hiroshi Kawaguchi Masahiko Yoshimoto

Analysis of Signals via Non-Maximally Decimated Non-Uniform Filter Banks.

Sandeep Patel Ravindra Dhuli Brejesh Lall

Area-Time-Power Efficient FFT Architectures Based on Binary-Signed-Digit CORDIC.

Hossein Mahdavi Somayeh Timarchi

A 1 Million-Point FFT on a Single FPGA.

Hans Kanders Tobias Mellqvist Mario Garrido Kent Palmkvist Oscar Gustafsson

An Efficient Low-Latency Point-Multiplication Over Curve25519.

Raziyeh Salarifard Siavash Bayat Sarmadi

A Real-Time 17-Scale Object Detection Accelerator With Adaptive 2000-Stage Classification in 65 nm CMOS.

Minkyu Kim Abinash Mohanty Deepak Kadetotad Luning Wei Xiaofei He Yu Cao Jae-sun Seo

Design of Tunable Multi-Pole Multi-Zero Bandpass Filters and Diplexer With High Selectivity and Isolation.

Li Gao Tsu-Wei Lin Gabriel M. Rebeiz

Filtering Power Amplifier With Wide Bandwidth Using Discriminating Coupling.

Yuan Chun Li Qin-chuang Chen Quan Xue Jinchao Mou

Differential Transmission Lines Loaded With Magnetic LC Resonators and Application in Common Mode Suppression.

Amir Ebrahimi Thomas C. Baum Ke Wang James R. Scott Kamran Ghorbani

A 0.034% Charge-Imbalanced Neural Stimulation Front-End (SFE) IC With on-Chip Voltage Compliance Monitoring Circuit and Analysis on Resting Potential by Utilizing the SFE IC.

Yong-Joon Jeon Lei Yao Yuan Gao Muthukumaraswamy Annamalai Arasu

A 3.6 µVrms Noise, 3 ppm/°C TC Bandgap Reference With Offset/Noise Suppression and Five-Piece Linear Compensation.

Lianxi Liu Xufeng Liao Junchao Mu

Time-Variant Modeling and Analysis of Multiplying Delay-Locked Loops.

Alessio Santiccioli Carlo Samori Andrea L. Lacaita Salvatore Levantino

Digital-to-Frequency Converters With a DTC: Theoretical Analysis of the Output SFDR.

Claudia Palattella Eric A. M. Klumperink Mark S. Oude Alink Bram Nauta

A High-Precision Time Skew Estimation and Correction Technique for Time-Interleaved ADCs.

Armia Salib Mark F. Flanagan Barry Cardiff

An 18-23 GHz 57.4-fs RMS Jitter -253.5-dB FoM Sub-Harmonically Injection-Locked All-Digital PLL With Single-Ended Injection Technique and ILFD Aided Adaptive Injection Timing Alignment Technique.

Zhao Zhang Jincheng Yang Liyuan Liu Nan Qi Peng Feng Jian Liu Nanjian Wu

Design and Analysis of a 12-b Current-Steering DAC in a 14-nm FinFET Technology for 2G/3G/4G Cellular Applications.

Jaekwon Kim Woojin Jang Yanghoon Lee Wan Kim Seunghyun Oh Jongwoo Lee Jaehyuk Choi Jung-Hoon Chun Thomas Byunghak Cho

A DROIC Based on PFM ADCs Employing Over-Integration for Error Shaping.

Shahbaz Abbasi Omer Ceylan Yasar Gurbuz

An N-Path Band-Pass Filter With Parametric Gain-Boosting.

Kamlesh Badiyari Nagarjuna Nallam Shouri Chatterjee

Design of a 5.2-GHz CMOS Power Amplifier Using TF-Based 2-Stage Dual-Radial Power Splitting/Combining Architecture.

Jeng-Han Tsai

Low-Noise Broadband CMOS TIA Based on Multi-Stage Stagger-Tuned Amplifier for High-Speed High-Sensitivity Optical Communication.

Dan Li Ming Liu Shengwei Gao Yongjun Shi Yihua Zhang Zhiyong Li Patrick Yin Chiang Franco Maloberti Li Geng

Class-J23 Power Amplifiers.

Amirreza Alizadeh Milad Frounchi Ali Medi

Design Methodology Based on the Inversion Coefficient and its Application to Inductorless LNA Implementations.

Gabrielle Guitton Marcelo de Souza André Augusto Mariano Thierry Taris

A Third-Order Integrated Passive Switched-Capacitor Filter Obtained With a Continuous-Time Design Approach.

Sevil Zeynep Lulec David A. Johns Antonio Liscidini


Volume 66-I, Number 9, September 2019
A Ripple Reduction Method for Switched-Capacitor DC-DC Voltage Converter Using Fully Digital Resistance Modulation.

Fu-Yan Xie Bing-Chen Wu Tsung-Te Liu

A Single-Stage Dual-Output Tri-Mode AC-DC Regulator for Inductively Powered Application.

Qiong Wei Low Liter Siek

A Fast-Transient-Response Fully-Integrated Digital LDO With Adaptive Current Step Size Control.

Guigang Cai Chenchang Zhan Yan Lu

An 86% Efficiency, Wide-V in SIMO DC-DC Converter Embedded in a Car-Radio IC.

Arunkumar Salimath Edoardo Botti Giovanni Gonano Paolo Cacciagrano Davide Luigi Brambilla Tommaso Barbieri Franco Maloberti Edoardo Bonizzoni

A 4 × 64 MIMO Detector for Generalized Spatial Modulation Systems.

Cheng-Han Li Yen-Lin Chen Wan-Nong Hu Chiao-En Chen Yuan-Hao Huang

An LDPC-Coded SCMA Receiver With Multi-User Iterative Detection and Decoding.

Wei-Cheng Sun Yu-Chieh Su Yeong-Luh Ueng Chia-Hsiang Yang

Analytical Equivalent Circuit Extraction Procedure for Broadband Scalable Modeling of Three-Port Center-Tapped Symmetric On-Chip Inductors.

Vadim Issakov Sebastian Kehl-Waas Sascha Breun

A Systematic Design Methodology for Optimization of Sigma-Delta Modulators Based on an Evolutionary Algorithm.

João L. A. de Melo Nuno Pereira Pedro V. Leitão Nuno Paulino João Goes

Maximizing the Data Rate of an Inductively Coupled Chip-to-Chip Link by Resetting the Channel State Variables.

Nagendra Krishnapura Anoop Narayan Bhat Subhashish Mukherjee Kumar Anurag Shrivastava Madhulatha Bonu

Ultra-Low Complex Blind I/Q-Imbalance Compensation.

Thomas Paireder Christian Motz Ram Sunil Kanumalli Silvester Sadjina Mario Huemer

An Ultra-Low-Power Dual-Mode Automatic Sleep Staging Processor Using Neural-Network-Based Decision Tree.

Shang-Yuan Chang Bing-Chen Wu Yi-Long Liou Rui-Xuan Zheng Pei-Lin Lee Tzi-Dar Chiueh Tsung-Te Liu

A 124 fJ/Bit Cascode Current Mirror Array Based PUF With 1.50% Native Unstable Bit Ratio.

Xiaojin Zhao Peizhou Gan Qiang Zhao Dejian Liang Yuan Cao Xiaofang Pan Amine Bermak

WRA: A 2.2-to-6.3 TOPS Highly Unified Dynamically Reconfigurable Accelerator Using a Novel Winograd Decomposition Algorithm for Convolutional Neural Networks.

Chen Yang Yizhou Wang Xiaoli Wang Li Geng

Accurate and Fast On-Wafer Test Circuitry for Device Array Characterization in Wafer Acceptance Test.

Hao-Chiao Hong Long-Yi Lin

A 0.7-V 28-nW CMOS Subthreshold Voltage and Current Reference in One Simple Circuit.

Lidan Wang Chenchang Zhan

Low-Voltage Current and Voltage Reference Design Based on the MOSFET ZTC Effect.

Yannick Wenger Bernd Meinerzhagen

An Efficient, Wide-Output, High-Voltage Charge Pump With a Stage Selection Circuit Realized in a Low-Voltage CMOS Process.

Zhicong Luo Li-Chin Yu Ming-Dou Ker

A Low-Noise, Positive-Input, Negative-Output Voltage Generator for Low-to-Moderate Driving Capacity Applications.

Devrishi Khanna Chirn Chye Boon Pilsoon Choi Liter Siek Bei Liu Chenyang Li

A Supply-Noise-Insensitive Digitally-Controlled Oscillator.

Chen Yuan Sudip Shekhar

An Integrated Micromachined Thermopile Sensor With a Chopper Interface Circuit for Contact-Less Temperature Measurements.

Elisabetta Moisello Michele Vaiana Maria Eloisa Castagna Giuseppe Bruno Piero Malcovati Edoardo Bonizzoni

Design Considerations for Low-Distortion Filter and Oscillator ICs for Testing High-Resolution ADCs.

Sangeeta Kumar Rajashekar Goroju Dileep Kumar Bhat K. S. Rakshitdatta Nagendra Krishnapura

A 10-MHz BW 77.9 dB SNDR DT MASH Δ!Σ ADC With NC-VCO-Based Quantizer and OPAMP Sharing.

Mahmoud Sadollahi Gabor C. Temes

A 13-Bit 260MS/s Power-Efficient Pipeline ADC Using a Current-Reuse Technique and Interstage Gain and Nonlinearity Errors Calibration.

Dadian Zhou Carlos Briseno-Vidrios Junning Jiang Chulhyun Park Qiyuan Liu Eric G. Soenen Martin Kinyua José Silva-Martínez

A 4-b 7-µW Phase Domain ADC With Time Domain Reference Generation for Low-Power FSK/PSK Demodulation.

Xuewei Lei Chi-Hang Chan Yan Zhu Rui Paulo Martins

A 27.7 fJ/conv-step 500 MS/s 12-Bit Pipelined ADC Employing a Sub-ADC Forecasting Technique and Low-Power Class AB Slew Boosted Amplifiers.

Mohammad H. Naderi Chulhyun Park Suraj Prakash Martin Kinyua Eric G. Soenen José Silva-Martínez

A Spectrum-Sensing DPD Feedback Receiver With 30× Reduction in ADC Acquisition Bandwidth and Sample Rate.

Nikolaus Hammler Andreia Cathelin Philippe Cathelin Boris Murmann

A 0.0018-mm2 153% Locking-Range CML-Based Divider-by-2 With Tunable Self-Resonant Frequency Using an Auxiliary Negative-gm Cell.

Xiaoteng Zhao Yong Chen Pui-In Mak Rui Paulo Martins

A 2.2-GHz 3.2-mW DTC-Free Sampling ΔΣ Fractional-N PLL With -110-dBc/Hz In-Band Phase Noise and -246-dB FoM and -83-dBc Reference Spur.

Jingcheng Tao Chun-Huat Heng

A 0.12-mm2 1.2-to-2.4-mW 1.3-to-2.65-GHz Fractional-N Bang-Bang Digital PLL With 8-µs Settling Time for Multi-ISM-Band ULP Radios.

Ka-Fai Un Gengzhen Qi Jun Yin Shiheng Yang Shupeng Yu Chio-In Ieong Pui-In Mak Rui Paulo Martins

An Integrated Discrete-Time Delay-Compensating Technique for Large-Array Beamformers.

Erfan Ghaderi Ajith Sivadhasan Ramani Arya A. Rahimi Deukhyoun Heo Sudip Shekhar Subhanshu Gupta

An Optically-Powered 432 MHz Wireless Tag for Batteryless Internet-of-Things Applications.

Hao-Chung Cheng Yen-Ting Chen Po-Hung Chen Yu-Te Liao

A Wideband dB-Linear VGA With Temperature Compensation and Active Load.

Xiong Song Zhenghao Lu Liying Cai Xiaopeng Yu Kiat Seng Yeo Jer-Ming Chen

Analysis and Design of Ultra-Large Dynamic Range CMOS Transimpedance Amplifier With Automatically-Controlled Multi-Current-Bleeding Paths.

Xiaojun Bi Zhen Gu Qinfen Xu

Short-Range Quality-Factor Modulation (SQuirM) for Low Power High Speed Inductive Data Transfer.

Matthew Schormans Dai Jiang Virgilio Valente Andreas Demosthenous

Guest Editorial Special Issue on the 2019 International Symposium on Integrated Circuits and Systems.

Elena Blokhina


Volume 66-I, Number 8, August 2019
Systematic Co-Design of Matching Networks and Rectifiers for CMOS Radio Frequency Energy Harvesters.

Mohammad Amin Karami Kambiz Moez

Analysis and Design of Cyclic Switched-Capacitor DC-DC Converters.

Kishalay Datta Vinod Menezes Shanthi Pavan

Layered Decoding Algorithm and Two-Level Quasi-Cyclic Matrix Construction for Rate Compatible Modulation.

Fang Lu Yan Dong Chang Wen Chen

Noise Analysis and Design Considerations for Equalizer-Based Optical Receivers.

Diaaeldin Abdelrahman Glenn E. R. Cowan

An Improved Gradient Descent Bit-Flipping Decoder for LDPC Codes.

Hangxuan Cui Jun Lin Zhongfeng Wang

A 124-Gb/s Decoder for Generalized Integrated Interleaved Codes.

Wenjie Li Jun Lin Zhongfeng Wang

A 10-Gb/s -18.8 dBm Sensitivity 5.7 mW Fully-Integrated Optoelectronic Receiver With Avalanche Photodetector in 0.13- $\mu$ m CMOS.

Spoorthi Nayak Abdelrahman H. Ahmed Ahmad Sharkia Ajith Sivadhasan Ramani Shahriar Mirabbasi Sudip Shekhar

Design and Analysis of a 94-GHz CMOS Down-Conversion Mixer With CCPT-RL-Based IF Load.

Yo-Sheng Lin Yuanxun Ethan Wang

A 3-6-GHz Highly Linear I-Channel Receiver With Over +3.0-dBm In-Band P1dB and 200-MHz Baseband Bandwidth Suitable for 5G Wireless and Cognitive Radio Applications.

Junning Jiang Jusung Kim Aydin Ilker Karsilayan José Silva-Martínez

Global Frequency Synchronization of Complex Power Networks Via Coordinating Switching Control.

Jie Wu Xinghuo Yu Xiang Li

Coreness and $h$ -Index for Weighted Networks.

Xiaoqun Wu Wenbin Wei Longkun Tang Junan Lu Jinhu Lü

Pinning Synchronization of Complex Switching Networks With a Leader of Nonzero Control Inputs.

Guanghui Wen Peijun Wang Xinghuo Yu Wenwu Yu Jinde Cao

Observer-Based Distributed Secure Consensus Control of a Class of Linear Multi-Agent Systems Subject to Random Attacks.

Yang Yang Huiwen Xu Dong Yue

A Quadrature RC Oscillator With Noise Reduction by Voltage Swing Control.

Jahyun Koo Byungsub Kim Hong-June Park Jae-Yoon Sim

Xcel-RAM: Accelerating Binary Neural Networks in High-Throughput SRAM Compute Arrays.

Amogh Agrawal Akhilesh Jaiswal Deboleena Roy Bing Han Gopalakrishnan Srinivasan Aayush Ankit Kaushik Roy

Multiple Pinch-Off Points in Memristive Equations: Analysis and Experiments.

Esraa M. Hamed Mohammed E. Fouda Ahmed G. Radwan

Practical Implementation of Memristor-Based Threshold Logic Gates.

Georgios Papandroulidakis Alexander Serb Ali Khiat Geoff V. Merrett Themis Prodromakis

Neuromodulation of Neuromorphic Circuits.

Luka Ribar Rodolphe Sepulchre

Under-Determined Convolutive Blind Source Separation Combining Density-Based Clustering and Sparse Reconstruction in Time-Frequency Domain.

Junjie Yang Yi Guo Zuyuan Yang Shengli Xie

A Deterministic Low-Complexity Approximate (Multiplier-Less) Technique for DCT Computation.

Junqi Huang T. Nandha Kumar Haider A. F. Almurib Fabrizio Lombardi

Real-Time Multi-User Detection Engine Design for IoT Applications via Modified Sparsity Adaptive Matching Pursuit.

Ching-Chun Liao Ting-Sheng Chen An-Yeu Wu

Dynamic Power Management for Neuromorphic Many-Core Systems.

Sebastian Höppner Bernhard Vogginger Yexin Yan Andreas Dixius Stefan Scholze Johannes Partzsch Felix Neumärker Stephan Hartmann Stefan Schiefer Georg Ellguth Love Cederstroem Luis A. Plana Jim D. Garside Steve B. Furber Christian Mayr

Offset-Cancellation Sensing-Circuit-Based Nonvolatile Flip-Flop Operating in Near-Threshold Voltage Region.

Byungkyu Song Sara Choi Seung-Hyuk Kang Seong-Ook Jung

Tunable Quasi-Circulator Based on a Compact Fully-Reconfigurable 180° Hybrid for Full-Duplex Transceivers.

Zhixian Deng Huizhen Jenny Qian Xun Luo

Novel Outphasing Power Amplifiers Designed With an Analytic Generalized Doherty-Chireix Continuum Theory.

Chenyu Liang Patrick Roblin Yunsik Hahn Zoya Popovic Hsiu-Chen Chang

Integrated Output Matching Networks for Class-J/J-1 Power Amplifiers.

Amirreza Alizadeh Saleh Hassanzadehyamchi Ali Medi

A 2.41-pJ/bit 5.4-Gb/s Dual-Loop Reference-Less CDR With Fully Digital Quarter-Rate Linear Phase Detector for Embedded DisplayPort.

Yong-Hwan Moon Jae-Wook Yoo Young-Soo Ryu Sang-Ho Kim Kyung-Sub Son Jin-Ku Kang

24-GHz Injection-Locked Frequency Tripler With Third-Harmonic Quadrature Phase Generator.

Dongseok Shin Kwang-Jin Koh

A Wide Tuning Range, Low Phase Noise, and Area Efficient Dual-Band Millimeter-Wave CMOS VCO Based on Switching Cores.

Ali Basaligheh Parvaneh Saffari Wolfgang Winkler Kambiz Moez

A 10-b 600-MS/s 2-Way Time-Interleaved SAR ADC With Mean Absolute Deviation-Based Background Timing-Skew Calibration.

Jeonggoo Song Kareem Ragab Xiyuan Tang Nan Sun

Fully Synthesizable Low-Area Digital-to-Analog Converter With Graceful Degradation and Dynamic Power-Resolution Scaling.

Orazio Aiello Paolo Stefano Crovetti Massimo Alioto

Digital Background Calibration of a Split Current-Steering DAC.

David J. Stoops Jenny Kuo Paul J. Hurst Bernard C. Levy Stephen H. Lewis

Subsampling Mismatch Noise Cancellation for High-Speed Continuous-Time DACs.

Derui Kong Ian Galton

Unified Analysis, Modeling, and Simulation of Chopping Artifacts in Continuous-Time Delta-Sigma Modulators.

Raviteja Theertham Shanthi Pavan

Analysis and Design of Regenerative Comparators for Low Offset and Noise.

Hao Xu Asad A. Abidi


Volume 66-I, Number 7, July 2019
A Flexible Load-Independent Multi-Output Wireless Power Transfer System Based on Cascaded Double T-Resonant Circuits: Analysis, Design and Experimental Verification.

Yong Li Jiefeng Hu Xiaofei Li Ka Wai Eric Cheng

Analysis of Class-DE PA Using MOSFET Devices With Non-Equally Grading Coefficient.

Ali Lotfi Akihiko Katsuki Fujio Kurokawa Hiroo Sekiya Marian K. Kazimierczuk Frede Blaabjerg

Power-Efficient Hybrid Energy Harvesting System for Harnessing Ambient Vibrations.

Salar Chamanian Berkay Çiftci Hasan Ulusan Ali Muhtaroglu Haluk Külah

Fundamental Energy Limits of Digital Phased Arrays.

H. Bo Marr

A Low Latency FFT/IFFT Architecture for Massive MIMO Systems Utilizing OFDM Guard Bands.

Mojtaba Mahdavi Ove Edfors Viktor Öwall Liang Liu

Analysis of Timing Accuracy and Sensitivity in a RF Correlation-Based Impulse Radio Receiver With Phase Interpolation for Data Synchronization.

Sudabeh Fotoohi Piraghaj Saeed Saeedi

A 0.34 mm2 1 Gb/s Non-Coherent UWB Receiver Architecture With Pulse Enhancement and Double PLL Clock/Data Packet Recovery.

Marco Crepaldi Gian Nicola Angotzi Luca Berdondini

Bipartite Synchronization and Convergence Analysis for Network of Harmonic Oscillator Systems With Signed Graph and Time Delay.

Qiang Song Guoping Lu Guanghui Wen Jinde Cao Fang Liu

Synchronization of Stochastic Lévy Noise Systems on a Multi-Weights Network and Its Applications of Chua's Circuits.

Hui Zhou Yi Zhang Wenxue Li

Boundary Scan Extension for Testing Distributed Reconfigurable Hardware Systems.

Asma Ben Ahmed Olfa Mosbahi Mohamed Khalgui Zhiwu Li

RotaSYN: Rotary Traveling Wave Oscillator SYNthesizer.

Ragh Kuttappa Adarsha Balaji Vasil Pano Baris Taskin Hamid Mahmoodi

Modeling and Mitigating Time-Dependent Variability From the Physical Level to the Circuit Level.

Victor M. van Santen Hussam Amrouch Jörg Henkel

Multiplierless Digital Implementation of Time-Varying FitzHugh-Nagumo Model.

Abdulhamid Zahedi Saeed Haghiri Mohsen Hayati

CORDIC-SNN: On-FPGA STDP Learning With Izhikevich Neurons.

Moslem Heidarpur Arash Ahmadi Majid Ahmadi Mostafa Rahimi Azghadi

Low-Power Current-Mode Interval Type-2 Fuzzy Inference Engine Circuit.

Gabriel Antonio Fanelli de Souza Rodrigo Bispo dos Santos Lester de Abreu Faria

Pattern Formation With Locally Active S-Type NbOx Memristors.

Martin Weiher Melanie Herzig Ronald Tetzlaff Alon Ascoli Thomas Mikolajick Stefan Slesazeck

Nano-Intrinsic True Random Number Generation: A Device to Data Study.

Jeeson Kim Hussein Nili Nhan Duy Truong Taimur Ahmed Jiawei Yang Doo Seok Jeong Sharath Sriram Damith Chinthana Ranasinghe Samuel James Ippolito Hosung Chun Omid Kavehei

Correlation Between the Theory of Lissajous Figures and the Generation of Pinched Hysteresis Loops in Nonlinear Circuits.

Brent Maundy Ahmed S. Elwakil Costas Psychalinos

Recursive Binary Neural Network Training Model for Efficient Usage of On-Chip Memory.

Tianchan Guan Peiye Liu Xiaoyang Zeng Martha A. Kim Mingoo Seok

A New Fast Algorithm for Discrete Fractional Hadamard Transform.

Aleksandr Cariow Dorota Majorkowska-Mech Janusz P. Paplinski Galina Cariowa

An SVD Processor Based on Golub-Reinsch Algorithm for MIMO Precoding With Adjustable Precision.

Chun-Hun Wu Pei-Yun Tsai

Experimental Study of Locking Phenomena on Oscillating Rings Implemented in Logic Devices.

Ugo Mureddu Nathalie Bochard Lilian Bossuet Viktor Fischer

Static Delay Variation Models for Ripple-Carry and Borrow-Save Adders.

Kleanthis Papachatzopoulos Vassilis Paliouras

ROBIN: Monolithic-3D SRAM for Enhanced Robustness with In-Memory Computation Support.

Srivatsa Rangachar Srinivasa Akshay Krishna Ramanathan Xueqing Li Wei-Hao Chen Sumeet Kumar Gupta Meng-Fan Chang Swaroop Ghosh Jack Sampson Vijaykrishnan Narayanan

Hybrid Latch-Type Offset Tolerant Sense Amplifier for Low-Voltage SRAMs.

Dhruv Patel Adam Neale Derek Wright Manoj Sachdev

An All-Digital On-Chip Peak-to-Peak Jitter Measurement Circuit With Automatic Resolution Calibration for High PVT-Variation Resilience.

Pei-Yuan Chou Jinn-Shyan Wang

Optimization-Free Design Equations for Narrowband Equal-Division Filtering Power Divider With Pre-Specified Filtering Response and Wideband Isolation.

Boyoung Lee Seunggoo Nam Juseop Lee

On the Relationships Between Input and Output Stability in Two-Ports.

Giancarlo Lombardi Bruno Neri

Numerical Jitter Minimization for PLL-Based FMCW Radar Systems.

Frank Herzel Silvio Waldmann Dietmar Kissinger

Harmonic-Based Nonlinearity Factorization of Switching Behavior in Up-Conversion Mixers.

Jinbo Li Qun Jane Gu

Analytical Noise Optimization of Single-/Dual-Band MOS LNAs With Substrate and Metal Loss Effects of Inductors.

Wei-Ling Chang Chinchun Meng Jung-Hung Ni Kai-Chun Chang Chih-Kai Chang Po-Yi Lee Yen-Lin Huang

A Highly Linear OTA-Free VCO-Based 1-1 MASH $\Delta\Sigma$ ADC.

Hamidreza Maghami Pedram Payandehnia Hossein Mirzaie Ramin Zanbaghi Siladitya Dey Kartikeya Mayaram Terri S. Fiez

Wandering Spurs in MASH 1-1 Delta-Sigma Modulators.

Yann Donnelly Michael Peter Kennedy

Highly Digital Second-Order $\Delta\Sigma$ VCO ADC.

Akshay Jayaraj Mohammadhadi Danesh Sanjeev Tannirkulam Chandrasekaran Arindam Sanyal

An 85-MHz-BW ASAR-Assisted CT 4-0 MASH $\Delta\Sigma$ Modulator With Background Half-Range Dithering-Based DAC Calibration in 28-nm CMOS.

Hui Liu Xinpeng Xing Georges G. E. Gielen


Volume 66-I, Number 6, June 2019
A Power-Loss-Dependent Inductance Model for Ferrite-Core Power Inductors in Switch-Mode Power Supplies.

Alberto Oliveri Giulia Di Capua Kateryna Stoyka Matteo Lodi Marco Storace Nicola Femia

Square-Root Generalized Eigenvalue Decomposition Processor for Leakage-Based Multi-User MIMO Precoding With Multi-Antenna Users.

Ling Lee Chun-An Chen Chiao-En Chen Yuan-Hao Huang

Fault Identifiability Analysis of Linear Discrete Time-Varying Systems.

Fangzhou Fu Dayi Wang Steven X. Ding Dong Zhao

Event-Based $H_{\infty}$ Fault Detection for Buck Converter With Multiplicative Noises Over Network.

Huaicheng Yan Hao Zhang Xisheng Zhan Zhichen Li Chun-xi Yang

Improved Analog Filter Design by Random Search.

John Pillans

An Efficient Uniform-Segmented Neuron Model for Large-Scale Neuromorphic Circuit Design: Simulation and FPGA Synthesis Results.

Ehsan Jokar Hadis Abolfathi Arash Ahmadi Majid Ahmadi

Dynamic Analysis of Digital Chaotic Maps via State-Mapping Networks.

Chengqing Li Bingbing Feng Shujun Li Jürgen Kurths Guanrong Chen

Nonlinear Analytical Model for Switched-Capacitor Class-D RF Power Amplifiers.

Wei Luo Yun Yin Liang Xiong Tong Li Hongtao Xu

Low-Complexity 2-D Digital FIR Filters Using Polyphase Decomposition and Farrow Structure.

T. Bindima Elizabeth Elias

A Wide-Voltage-Range Half-Path Timing Error-Detection System With a 9-Transistor Transition-Detector in 40-nm CMOS.

Weiwei Shan Xinchao Shang Xing Wan Hao Cai Chuan Zhang Jun Yang

An IEEE Single Precision Floating Point Arithmetic-Based Apodization Architecture for Portable Ultrasound Imaging System.

Mayur Agarwal Arijit De Swapna Banerjee

Efficient CMOS Invertible Logic Using Stochastic Computing.

Sean C. Smithson Naoya Onizawa Brett H. Meyer Warren J. Gross Takahiro Hanyu

Dual-Path and Dual-Chopper Amplifier Signal Conditioning Circuit With Improved SNR and Ultra-Low Power Consumption for MEMS.

Parisa Vejdani Frederic Nabki

A 2.86-TOPS/W Current Mirror Cross-Bar-Based Machine-Learning and Physical Unclonable Function Engine For Internet-of-Things Applications.

Yi Chen Zheng Wang Aakash Patil Arindam Basu

A Switching Sequence for Unary Digital-to-Analog Converters Based on a Knight's Tour.

Mikhail S. Yenuchenko Alexander S. Korotkov Dmitry V. Morozov Mikhail M. Pilipko

A Three-Phase, One-Tap High Background Light Subtraction Time-of-Flight Camera.

Chandani Anand Kapil Jainwal Mukul Sarkar

Low-Power/Low-Voltage Integrated CMOS Sense Resistor-Free Analog Power/Current Sensor Compatible With High-Voltage Switching DC-DC Converter.

Shrikant Singh Debashis Mandal Bertan Bakkaloglu Sayfe Kiaei

A Test Vector Generation Method Based on Symbol Error Probabilities for Low-Complexity Chase Soft-Decision Reed-Solomon Decoding.

Javier Valls Vicente Torres María José Canet Francisco Miguel Garcia-Herrero

Multiphysics Simulation of Biosensors Involving 3D Biological Reaction-Diffusion Phenomena in a Standard Circuit EDA Environment.

Morgan Madec Luc Hébrard Jean-Baptiste Kammerer Alexi Bonament Elise Rosati Christophe Lallement

Transistor Count Reduction by Gate Merging.

Calebe Micael de Oliveira Conceição Ricardo Augusto da Luz Reis

A New Nonlinear Global Placement for FPGAs: The Chaotic Place.

Elias de Almeida Ramos Guilherme Bontorin Ricardo Reis

Using Machine Learning Techniques to Evaluate Multicore Soft Error Reliability.

Felipe Rocha da Rosa Rafael Garibotti Luciano Ost Ricardo Reis

Design Methodology to Explore Hybrid Approximate Adders for Energy-Efficient Image and Video Processing Accelerators.

Leonardo Bandeira Soares Morgana Macedo Azevedo da Rosa Cláudio Machado Diniz Eduardo Antonio Cesar da Costa Sergio Bampi

Quality and Energy-Aware HEVC Transrating Based on Machine Learning.

Thiago Luiz Alves Bubolz Ruhan A. Conceição Mateus Grellert Luciano Agostini Bruno Zatt Guilherme Corrêa

Voice Activity Detection Using Generalized Exponential Kernels for Time and Frequency Domains.

Aminadabe dos Santos Pires Soares Wemerson Delcio Parreira Everton Granemann Souza Chiara das Dores do Nascimento Sérgio Jose Melo de Almeida

Energy-Efficient Hadamard-Based SATD Hardware Architectures Through Calculation Reuse.

Ismael Seidel Marcio Monteiro Bruno Bonotto Luciano Volcan Agostini José Luís Güntzel

Optimization and Hardware Implementation of Image and Video Watermarking for Low-Cost Applications.

Konstantinos Pexaras Irene G. Karybali Emmanouil Kalligeros

High-DR CMOS Fluorescence Biosensor With Extended Counting ADC and Noise Cancellation.

Mehdi Noormohammadi Khiarak Sylvain Martel Yves De Koninck Benoit Gosselin

An Energy-Efficient Hierarchical Architecture for Time-Interleaved SAR ADC.

Benjamin T. Reyes Laura Biolato Agustin C. Galetto Leandro Passetti Fredy Solis Mario R. Hueda

A 53-67 GHz Low-Noise Mixer-First Receiver Front-End in 65-nm CMOS.

Milad Haghi Kashani Amirahmad Tarkeshdouz Ehsan Afshari Shahriar Mirabbasi

Analysis of SRAM Enhancements Through Sense Amplifier Capacitive Offset Correction and Replica Self-Timing.

Roman Fragasse Ramy Tantawy Brian Dupaix Trevor Dean Daron Disabato Matthew R. Belz Dale Shane Smith Jamin J. McCue Waleed Khalil

Variability-Aware Design Method for a Constant Inversion Level Bias Current Generator.

Guillermo Antúnez-Calistro Mariana Siniscalchi Fernando Silveira Conrado Rossi-Aicardi

A 0.4-V 10.9- $\mu$ W/Pole Third-Order Complex BPF for Low Energy RF Receivers.

Lucas C. Severo Wilhelmus A. M. Van Noije

Digital Mismatch Correction for Bandpass Sampling Four-Channel Time-Interleaved ADCs in Direct-RF Sampling Receivers.

Takao Kihara Tomoya Takahashi Tsutomu Yoshimura

Compact Extended Industrial Range CMOS Current References.

Dmitry Osipov Steffen Paul

Guest Editorial Selected Papers From Regional Flagship Conferences of the IEEE Circuits and Systems Society (ICECS 2017, LASCAS 2018, and NEWCAS 2018).

Elena Blokhina


Volume 66-I, Number 5, May 2019
Solar Cell Photo-Luminescence Modulation for Optical Frequency Identification Devices.

W. Daniel Leon-Salas Xiaozhe Fan

A 2.2-GHz Configurable Direct Digital Frequency Synthesizer Based on LUT and Rotation.

Yixiong Yang Xin Shi Fang Su Zhibo Wang Pei Yang Huazhong Yang Yongpan Liu

Stability Test for Complex Matrices Over the Complex Unit Circumference via LMIs and Applications in 2D Systems.

Graziano Chesi

On Basic Boolean Function Graphene Nanoribbon Conductance Mapping.

Yande Jiang Nicoleta Cucu Laurenciu Sorin Dan Cotofana

Analysis of Parasitic Effects in Filamentary-Switching Memristive Memories Using an Approximated Verilog-A Memristor Model.

Nicola Lupo Eduardo Pérez Christian Wenger Franco Maloberti Edoardo Bonizzoni

Second-Order Trajectory Sensitivity Analysis of Hybrid Systems.

Sijia Geng Ian A. Hiskens

Computation-Performance Optimization of Convolutional Neural Networks With Redundant Filter Removal.

Chih-Ting Liu Tung-Wei Lin Yi-Heng Wu Yu-Sheng Lin Heng Lee Yu Tsao Shao-Yi Chien

Using Modified Bessel Functions for Analysis of Nonlinear Effects in a MOS Transistor Operating in Moderate Inversion.

Igor M. Filanovsky Luís B. Oliveira Nikolay T. Tchamov

Pulse Compression in Nondestructive Testing Applications: Reduction of Near Sidelobes Exploiting Reactance Transformation.

Pietro Burrascano Stefano Laureti Luca Senni Marco Ricci

A Generic Foreground Calibration Algorithm For ADCs With Nonlinear Impairments.

Armia Salib Mark F. Flanagan Barry Cardiff

Integrated Wide-Band CMOS Spectrometer Systems for Spaceborne Telescopic Sensing.

Yan Zhang Yanghyo Kim Adrian Tang Jon Kawamura Theodore Reck Mau-Chung Frank Chang

Novel Radiation Hardening Read/Write Circuits Using Feedback Connections for Spin-Orbit Torque Magnetic Random Access Memory.

Bi Wang Zhaohao Wang Bi Wu Yumeng Bai Kaihua Cao Yuanfu Zhao Youguang Zhang Weisheng Zhao

Design of a 0.20-0.25-V, Sub-nW, Rail-to-Rail, 10-bit SAR ADC for Self-Sustainable IoT Applications.

Hao-Chiao Hong Long-Yi Lin Yi Chiu

A 64×64 Pixel Vision Sensor for Local Binary Pattern Computation.

Massimo Gottardi Michela Lecca

A CMOS V-Band PLL With a Harmonic Positive Feedback VCO Leveraging Operation in Triode Region for Phase-Noise Improvement.

Razieh Abedi Rouzbeh Kananizadeh Omeed Momeni Payam Heydari

CAR-Lite: A Multi-Rate Cochlear Model on FPGA for Spike-Based Sound Encoding.

Ram Kuber Singh Ying Xu Runchun Wang Tara Julia Hamilton Susan L. Denham André van Schaik

A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application.

Donghyeon Han Jinsu Lee Jinmook Lee Hoi-Jun Yoo

Power and Area Efficient FPGA Building Blocks Based on Ferroelectric FETs.

Xiaoming Chen Kai Ni Michael T. Niemier Yinhe Han Suman Datta Xiaobo Sharon Hu

ChipNet: Real-Time LiDAR Processing for Drivable Region Segmentation on an FPGA.

Yecheng Lyu Lin Bai Xinming Huang

Wide-Bandwidth, High-Linearity, 2.8-GS/s, 10-bit Accurate Sample and Hold Amplifier in 130-nm SiGe BiCMOS.

Ramy Tantawy Vipul J. Patel Dale Shane Smith S. M. Shahriar Rashid Matthew J. Casto Lucas Duncan Roman Fragasse Brian Dupaix Luciano Boglione Joel Goodman Waleed Khalil

A Nanopower Biopotential Lowpass Filter Using Subthreshold Current-Reuse Biquads With Bulk Effect Self-Neutralization.

Chutham Sawigun Surachoke Thanapitak

A Novel Single-Inductor Injection-Locked Frequency Divider by Three With Dual-Injection Secondary Locking.

Alessandro Garghetti Andrea L. Lacaita Salvatore Levantino

A 1-MHz Relaxation Oscillator Core Employing a Self-Compensating Chopped Comparator Pair.

Josip Mikulic Gregor Schatzberger Adrijan Baric

A 10-Bit 200-kS/s 1.76- $\mu$ W SAR ADC With Hybrid CAP-MOS DAC for Energy-Limited Applications.

Hongshuai Zhang Hong Zhang Yan Song Ruizhi Zhang

A Fully Flexible Circuit Implementation of Clique-Based Neural Networks in 65-nm CMOS.

Benoit Larras Paul Chollet Cyril Lahuec Fabrice Seguin Matthieu Arzel

A Novel Convolution Computing Paradigm Based on NOR Flash Array With High Computing Speed and Energy Efficiency.

Runze Han Peng Huang Yachen Xiang Chen Liu Zhen Dong Zhiqiang Su Yongbo Liu Lu Liu Xiaoyan Liu Jinfeng Kang

Benefits of Using VCO-OTAs to Construct TIAs in Wideband Current-Mode Receivers Over Inverter-Based OTAs.

Sarthak Kalani Tanbir Haque Rupal Gupta Peter R. Kinget

A Study of Phase Noise and Frequency Error of a Fractional-N PLL in the Course of FMCW Chirp Generation.

Arzu Ergintav Frank Herzel Gunter Fischer Dietmar Kissinger

Guest Editorial Special Issue on the IEEE International Symposium on Circuits and Systems 2018.

Elena Blokhina


Volume 66-I, Number 4, April 2019
Nonlinear Dynamic Modeling and Analysis of Self-Oscillating H-Bridge Parallel Resonant Converter Under Zero Current Switching Control: Unveiling Coexistence of Attractors.

Abdelali El Aroudi Luis Benadero Enrique Ponce Carlos Olalla Francisco Torres Luis Martínez-Salamero

Layered LDPC Decoders With Efficient Memory Access Scheduling and Mapping and Built-In Support for Pipeline Hazards Mitigation.

Oana Boncalo Gyorgy Kolumban-Antal Alexandru Amaricai Valentin Savin David Declercq

A Phase-Calibration Method for Vector-Sum Phase Shifters Using a Self-Generated LUT.

Ilker Kalyoncu Emre Ozeren Abdurrahman Burak Omer Ceylan Yasar Gurbuz

Linearization of Active Downconversion Mixers at the IF Using Feedforward Cancellation.

Hao Li Carlos E. Saavedra

Reconstructing of Networks With Binary-State Dynamics via Generalized Statistical Inference.

Hai-Feng Zhang Fang Xu Zhongkui Bao Chuang Ma

New Mixed-Mode Design Methodology for High-Efficiency Outphasing Chireix Amplifiers.

Hsiu-Chen Chang Yunsik Hahn Patrick Roblin Taylor W. Barton

Upper and Lower Bounds for the Maximum Number of Frequencies That Can Be Generated by a Class of Fractional Oscillators.

Mohammad Saleh Tavazoei

Supporting the Momentum Training Algorithm Using a Memristor-Based Synapse.

Tzofnat Greenberg-Toledo Roee Mazor Ameer Haj Ali Shahar Kvatinsky

Efficient FPGA Implementations of Pair and Triplet-Based STDP for Neuromorphic Architectures.

Corey Lammie Tara Julia Hamilton André van Schaik Mostafa Rahimi Azghadi

Waypoint Path Planning With Synaptic-Dependent Spike Latency.

Shashikant Koul Timothy K. Horiuchi

A System of Two Coupled Oscillators With a Continuously Controllable Phase Shift.

Vahnood Pourahmad Farzad Khoeini Ehsan Afshari

Approximate DCT Design for Video Encoding Based on Novel Truncation Scheme.

Heming Sun Zhengxue Cheng Amir Masoud Gharehbaghi Shinji Kimura Masahiro Fujita

World's Fastest FFT Architectures: Breaking the Barrier of 100 GS/s.

Mario Garrido Konrad Möller Martin Kumm

Design of Sparse FIR Filters With Reduced Effective Length.

Wangqian Chen Mo Huang Xin Lou

FPGA Implementation of the Fractional Order Integrator/Differentiator: Two Approaches and Applications.

Mohammed F. Tolba Lobna A. Said Ahmed H. Madian Ahmed G. Radwan

A Resource-Efficient and Side-Channel Secure Hardware Implementation of Ring-LWE Cryptographic Processor.

Dongsheng Liu Cong Zhang Hui Lin Yuyang Chen Mingyu Zhang

Low-Latency Double Point Multiplication Architecture Using Differential Addition Chain Over $GF(2^m)$.

Taha Shahroodi Siavash Bayat Sarmadi Hatameh Mosanaei-Boorani

A Novel MTJ-Based Non-Volatile Ternary Content-Addressable Memory for High-Speed, Low-Power, and High-Reliable Search Operation.

Chengzhi Wang Deming Zhang Lang Zeng Erya Deng Jie Chen Weisheng Zhao

A 28-nm FD-SOI 8T Dual-Port SRAM for Low-Energy Image Processor With Selective Sourceline Drive Scheme.

Haruki Mori Tomoki Nakagawa Yuki Kitahara Yuta Kawamoto Kenta Takagi Shusuke Yoshimoto Shintaro Izumi Hiroshi Kawaguchi Masahiko Yoshimoto

1-to- $N$ Ring Power Combiners With Common Delta Ports.

Kyle D. Holzer Jeffrey S. Walling

Sub-1-dB and Wideband SiGe BiCMOS Low-Noise Amplifiers for $X$ -Band Applications.

Can Çaliskan Ilker Kalyoncu Melik Yazici Yasar Gurbuz

A Programmable Sustaining Amplifier for Flexible Multimode MEMS-Referenced Oscillators.

Mohammad S. Islam Siddharth K. Singh Jaesung Lee Yong Xie Christian A. Zorman Philip X.-L. Feng Soumyajit Mandal

Capacitive Touch Panel With Low Sensitivity to Water Drop Employing Mutual-Coupling Electrical Field Shaping Technique.

Longjie Zhong Xinquan Lai Donglai Xu Xinqin Liao Chuanshi Yang Zhongyuan Fang Yuanjin Zheng

A Low-Power Fast Start-Up Crystal Oscillator With an Autonomous Dynamically Adjusted Load.

Ming Ding Yao-Hong Liu Pieter Harpe Christian Bachmann Kathleen Philips Arthur H. M. van Roermund

Low-Pass Filtering SC-DAC for Reduced Jitter and Slewing Requirements on CTSDMs.

Dries Vercaemer Johan Raman Pieter Rombouts

A Second-Order Bandpass $\Delta\Sigma$ Time-to-Digital Converter With Negative Time-Mode Feedback.

Soheil Ziabakhsh Ghyslain Gagnon Gordon W. Roberts

Error-Feedback Mismatch Error Shaping for High-Resolution Data Converters.

Jiaxin Liu Chen-Kai Hsu Xiyuan Tang Shaolan Li Guangjun Wen Nan Sun

Noise Filtering and Linearization of Single-Ended Sampled-Data Circuits.

Tao He Manjunath Kareppagoudr Yi Zhang Emanuel Caceres Un-Ku Moon Gabor C. Temes

Analysis of Systematic Losses in Hybrid Envelope Tracking Modulators.

Luke Renaud Joe Baylon Srinivasan Gopal Md. Aminul Hoque Deukhyoun Heo

The Theory of Special Noise Invariants.

Michele Spasaro Federico Alimenti Domenico Zito


Volume 66-I, Number 3, March 2019
Power Extracted From Piezoelectric Harvesters Driven by Non-Sinusoidal Vibrations.

Luigi Costanzo Alessandro Lo Schiavo Massimo Vitelli

A New Design Technique for Sub-Nanosecond Delay and 200 V/ns Power Supply Slew-Tolerant Floating Voltage Level Shifters for GaN SMPS.

Dawei Liu Simon J. Hollis Bernard H. Stark

A 0.90-4.39-V Detection Voltage Range, 56-Level Programmable Voltage Detector Using Fine Voltage-Step Subtraction for Battery Management.

Teruki Someya Kenichi Matsunaga Hiroki Morimura Takayasu Sakurai Makoto Takamiya

Fully-Integrated Charge Pump Design Optimization for Above-Breakdown Biasing of Single-Photon Avalanche Diodes in 0.13-µm CMOS.

Boyu Shen Soumya Bose Matthew L. Johnston

An Accurate and Noise-Resilient Spread-Spectrum Clock Tracking Aid for Digitally-Controlled Clock and Data Recovery Loops.

Sigang Ryu Seuk Son Jaeha Kim

Variation Aware Design of 50-Gbit/s, 5.027-fJ/bit Serializer Using Latency Combined Mux-Dual Latch for Inter-Chip Communication.

Alak Majumder Monalisa Das Suraj Kumar Saw Abir J. Mondal Bidyut K. Bhattacharyya

A (21150, 19050) GC-LDPC Decoder for NAND Flash Applications.

Yen-Chin Liao Chien Lin Hsie-Chia Chang Shu Lin

An Integrated Message-Passing Detector and Decoder for Polar-Coded Massive MU-MIMO Systems.

Yan-Tong Chen Wei-Cheng Sun Chung-Chao Cheng Tsung-Lin Tsai Yeong-Luh Ueng Chia-Hsiang Yang

Line Coding Techniques for Channel Equalization: Integrated Pulse-Width Modulation and Consecutive Digit Chopping.

Ashwin Ramachandran Arun Natarajan Tejasvi Anand

A Low Power Receiver Front-End Design With Tunable Notch Filter for TX Leakage and Blocker Suppression.

Mehmet Tamer Ozgun Amr Abdelhamid Hakan Dogan

A -40 dB EVM, 77 MHz Dual-Band Tunable Gain Sub-Sampling Receiver Front End in 65-nm CMOS.

Ajinkya Kale Suchendranath Popuri Michael Koeberle Johannes Sturm Vijaya Sankara Rao Pasupureddi

A Hilbert Transform Equalizer Enabling 80 MHz RF Self-Interference Cancellation for Full-Duplex Receivers.

Ahmed El Sayed Amit K. Mishra Abdelrahman H. Ahmed Amir Hossein Masnadi Shirazi Sang-Pil Woo Yang-Seok Choi Shahriar Mirabbasi Sudip Shekhar

Synchronization of Multi-Layer Networks: From Node-to-Node Synchronization to Complete Synchronization.

Peijun Wang Guanghui Wen Xinghuo Yu Wenwu Yu Tingwen Huang

Network-Based Quantized Control for Fuzzy Singularly Perturbed Semi-Markov Jump Systems and its Application.

Hao Shen Yunzhe Men Zheng-Guang Wu Jinde Cao Guoping Lu

H∞ Model Reduction for Interval Frequency Negative Imaginary Systems.

Lanlin Yu Junlin Xiong

Proper Initial Solution to Start Periodic Steady-State-Based Methods.

Giovanni De Luca Pascal Bolcato Wil H. A. Schilders

Network Science Meets Circuit Theory: Resistance Distance, Kirchhoff Index, and Foster's Theorems With Generalizations and Unification.

Krishnaiyan Thulasiraman Mamta Yadav Kshirasagar Naik

Event-Triggered Finite-Time Robust Filtering for a Class of State-Dependent Uncertain Systems With Network Transmission Delay.

Yiming Sun Jinyong Yu Zhengchao Li

Statistics-Based Approach for Blind Post-Compensation of Modulator's Imperfections and Power Amplifier Nonlinearity.

Mohsin Aziz Mehdi Vejdani Amiri Mohamed Helaoui Fadhel M. Ghannouchi

Mean-Square Analysis of Multi-Sampled Multiband-Structured Subband Filtering Algorithm.

Sheng Zhang Wei Xing Zheng

Area-Delay-Energy Efficient VLSI Architecture for Scalable In-Place Computation of FFT on Real Data.

Basant K. Mohanty Pramod Kumar Meher

An Adaptive Cascaded ILA- and DLA-Based Digital Predistorter for Linearizing an RF Power Amplifier.

Han Le Duc Bruno Feuvrie Matthieu Pastore Yide Wang

Signal Encoding and Processing in Continuous Time Using a Cascade of Digital Delays.

Sharvil Patil Suhas Gundu Rao Yu Chen Yannis P. Tsividis

High-Speed ECC Processor Over NIST Prime Fields Applied With Toom-Cook Multiplication.

Jinnan Ding Shuguo Li Zhen Gu

Modified Dual-CLCG Method and its VLSI Architecture for Pseudorandom Bit Generation.

Amit Kumar Panda Kailash Chandra Ray

Low-Power Near-Threshold 10T SRAM Bit Cells With Enhanced Data-Independent Read Port Leakage for Array Augmentation in 32-nm CMOS.

Shourya Gupta Kirti Gupta Benton H. Calhoun Neeta Pandey

Quadruple Cross-Coupled Latch-Based 10T and 12T SRAM Bit-Cell Designs for Highly Reliable Terrestrial Applications.

Jianwei Jiang Yiran Xu Wenyi Zhu Jun Xiao Shichang Zou

Physically Unclonable Functions Using Foundry SRAM Cells.

Lawrence T. Clark Sai Bharadwaj Medapuram Divya Kiran Kadiyala John Brunhaver

Synthesizable Memory Arrays Based on Logic Gates for Subthreshold Operation in IoT.

Xin Fan Jan Stuijt Bo Liu Tobias Gemmeke

Pixel Optimizations and Digital Calibration Methods of a CMOS Image Sensor Targeting High Linearity.

Fei Wang Albert J. P. Theuwissen

Clock Jitter Analysis of Continuous-Time ΣΔ Modulators Based on a Relative Time-Base Projection.

Fernando Cardes Victor Medina Susana Patón Luis Hernández

A 1-MHz-Bandwidth Gm-C-Based Quadrature Bandpass Sigma-Delta Modulator Achieving -153.7-dBFS/Hz NSD With Background Calibration.

Minglei Zhang Qingsong Cai Zhong Yang Xiaoyun Jia Xiaohua Fan

An On-Chip Built-in Linearity Estimation Methodology and Hardware Implementation.

Congyin Shi Edgar Sánchez-Sinencio

Improving Receiver Close-In Blocker Tolerance by Baseband Gm-C Notch Filtering.

Mohammed Abdulaziz Eric A. M. Klumperink Bram Nauta Henrik Sjöland


Volume 66-I, Number 2, February 2019
Hybrid Check Node Architectures for NB-LDPC Decoders.

Cédric Marchand Emmanuel Boutillon Hassan Harb Laura Conde-Canencia Ali Al Ghouwayel

Chaotic Encryption for 10-Gb Ethernet Optical Links.

Adrián Pérez-Resa Miguel Garcia-Bosque Carlos Sánchez-Azqueta Santiago Celma

Multi-User Hybrid MIMO at 60 GHz Using 16-Antenna Transmitters.

Steve Blandino Giovanni Mangraviti Claude Desset André Bourdoux Piet Wambacq Sofie Pollin

A 25-35 GHz Neutralized Continuous Class-F CMOS Power Amplifier for 5G Mobile Communications Achieving 26% Modulation PAE at 1.5 Gb/s and 46.4% Peak PAE.

Sheikh Nijam Ali Pawan Agarwal Srinivasan Gopal Shahriar Mirabbasi Deukhyoun Heo

High-Resolution Wideband Phase Shifter With Current Limited Vector-Sum.

Huizhen Jenny Qian Bo Zhang Xun Luo

Fault Estimation Observer Design for Descriptor Switched Systems With Actuator and Sensor Failures.

Li-Heng Chen Yuxin Zhao Shasha Fu Ming Liu Jianbin Qiu

Reduced-Order Observer-Based Sliding Mode Control for Singular Markovian Jump System With Time-Varying Transition Rate.

Di Zhang Qingling Zhang

Variable Threshold-Based Selective Updating Algorithms in Feed-Forward Active Noise Control Systems.

Muhammad Saeed Aslam Peng Shi Cheng-Chew Lim

Exploiting Machine Learning Against On-Chip Power Analysis Attacks: Tradeoffs and Design Considerations.

Farid Kenarangi Inna Partin-Vaisband

3-D-DATE: A Circuit-Level Three-Dimensional DRAM Area, Timing, and Energy Model.

Jong Beom Park William Rhett Davis Paul D. Franzon

A Combined Arithmetic-High-Level Synthesis Solution to Deploy Partial Carry-Save Radix-8 Booth Multipliers in Datapaths.

Alberto A. Del Barrio Román Hermida Seda Ogrenci Memik

Switched Threshold-Based Fault Detection for Switched Nonlinear Systems With Its Application to Chua's Circuit System.

Li Tang Jun Zhao

Learning in Memristive Neural Network Architectures Using Analog Backpropagation Circuits.

Olga Krestinskaya Khaled Nabil Salama Alex Pappachen James

Efficient Channel Estimator With Angle-Division Multiple Access.

Xiaozhen Liu Jin Sha Hongxiang Xie Feifei Gao Shi Jin Zaichen Zhang Xiaohu You Chuan Zhang

Generalized Wave Digital Filter Realizations of Arbitrary Reciprocal Connection Networks.

Alberto Bernardini Kurt James Werner Julius Orion Smith III Augusto Sarti

Power-, Area-, and Compression-Efficient Eight-Point Approximate 2-D Discrete Tchebichef Transform Hardware Design Combining Truncation Pruning and Efficient Transposition Buffers.

Guilherme Paim Leandro Mateus Giacomini Rocha Gustavo Madeira Santana Leonardo Bandeira Soares Eduardo Antonio Cesar da Costa Sergio Bampi

Ultra-Low Power QRS Detection and ECG Compression Architecture for IoT Healthcare Devices.

Temesghen Tekeste Hani H. Saleh Baker Mohammad Mohammed Ismail

Analysis and Correction of Combined Channel Mismatch Effects in Frequency-Interleaved ADCs.

Jinpeng Song Shulin Tian Yu Hen Hu

A Robust Digital RRAM-Based Convolutional Block for Low-Power Image Processing and Learning Applications.

Edouard Giacomin Tzofnat Greenberg-Toledo Shahar Kvatinsky Pierre-Emmanuel Gaillardon

Optimal Complexity Architectures for Pipelined Distributed Arithmetic-Based LMS Adaptive Filter.

Mohd. Tasleem Khan Shaik Rafi Ahamed

Efficient Fuzzy Extractors Based on Ternary Debiasing Method for Biased Physically Unclonable Functions.

Manami Suzuki Rei Ueno Naofumi Homma Takafumi Aoki

Power Efficient and Reliable Nonvolatile TCAM With Hi-PFO and Semi-Complementary Driver.

Cheol Kim Sung-Gi Ahn Jisu Min Kee-Won Kwon

Reflectionless Filter Topologies Supporting Arbitrary Low-Pass Ladder Prototypes.

Matthew A. Morgan Wavley M. Groves Tod A. Boyd

Octave Bandwidth Doherty Power Amplifier Using Multiple Resonance Circuit for the Peaking Amplifier.

Hyunuk Kang Hwiseob Lee Wooseok Lee Hansik Oh Wonseob Lim Hyungmo Koo Cheon-Seok Park Keum-Cheol Hwang Kang-Yoon Lee Youngoo Yang

ΔΣ Noise-Shaping in 2-D Space-Time for Wideband Antenna Array Receivers.

Yingying Wang Jifu Liang Suranga Handagala Arjuna Madanayake Soumyajit Mandal

A 5-10-Gb/s 12.5-mW Source Synchronous I/O Interface With 3-D Flip Chip Package.

Shunli Ma Hao Yu Qun Jane Gu Junyan Ren

A 50-MHz-1-GHz 2.3-dB NF Noise-Cancelling Balun-LNA Employing a Modified Current-Bleeding Technique and Balanced Loads.

Sinyoung Kim Kuduck Kwon

From Battery Enabled to Natural Harvesting: Enzymatic BioFuel Cell Assisted Integrated Analog Front-End in 130nm CMOS for Long-Term Monitoring.

Huan Hu Tanzila Islam Alla Kostyukova Su Ha Subhanshu Gupta

256 × TDC Array With Cyclic Interpolators Based on Calibration-Free 2× Time Amplifier.

Pekka Keränen Juha Kostamovaara

Design and Implementation of a 0.3-V Differential Difference Amplifier.

Fabian Khateb Tomasz Kulej

Low-Cost, High-Precision DAC Design Based on Ordered Element Matching.

You Li Degang Chen

Design of a High-Speed Time-Interleaved Sub-Ranging SAR ADC With Optimal Code Transfer Technique.

Dezhi Xing Yan Zhu Chi-Hang Chan Franco Maloberti Seng-Pan U Rui Paulo Martins

A Low Power 12-bit 1-kS/s SAR ADC for Biomedical Signal Processing.

Wei Mao Yongfu Li Chun-Huat Heng Yong Lian

Circuit Theory in Projective Space and Homogeneous Circuit Models.

Ricardo Riaza

Charge-Based Distortion Analysis of Nanoscale MOSFETs.

Francesco Chicco Alessandro Pezzotta Christian C. Enz


Volume 66-I, Number 1, January 2019
A High-Efficiency Low-Profile Zero-Voltage Transition Synchronous Non-Inverting Buck-Boost Converter With Auxiliary-Component Sharing.

Lin Cong Jin Liu Hoi Lee

A Resistorless High-Precision Compensated CMOS Bandgap Voltage Reference.

Ze-kun Zhou Yue Shi Yao Wang Nie Li Zhiping Xiao Yunkun Wang Xiaolin Liu Zhuo Wang Bo Zhang

An Area-Efficient On-Chip Memory System for Massive MIMO Using Channel Data Compression.

Yangxurui Liu Liang Liu Ove Edfors Viktor Öwall

A Probabilistic Parallel Bit-Flipping Decoder for Low-Density Parity-Check Codes.

Khoa Le Fakhreddine Ghaffari Lounis Kessal David Declercq Emmanuel Boutillon Chris Winstead Bane Vasic

Asynchronous Finite-Time Filtering of Networked Switched Systems and its Application: an Event-Driven Method.

Hangli Ren Guangdeng Zong Hamid Reza Karimi

Shooting by a Two-Step Galerkin Method.

Federico Bizzarri Angelo Maurizio Brambilla Lorenzo Codecasa

Symbolic Distortion Analysis of Multistage Amplifiers.

Guoyong Shi

A Phasor-Based Analysis of Sinusoidal Injection Locking in LC and Ring Oscillators.

Brian Hong Ali Hajimiri

Quantum Implementation Circuits of Quantum Signal Representation and Type Conversion.

Hai-Sheng Li Ping Fan Haiying Xia Huiling Peng Shuxiang Song

A Theoretical Framework for Quality Estimation and Optimization of DSP Applications Using Low-Power Approximate Adders.

Masoud Pashaeifar Mehdi Kamal Ali Afzali-Kusha Massoud Pedram

A High-Performance and Energy-Efficient FIR Adaptive Filter Using Approximate Distributed Arithmetic Circuits.

Honglan Jiang Leibo Liu Pieter P. Jonker Duncan G. Elliott Fabrizio Lombardi Jie Han

Power Performance Tradeoffs Using Adaptive Bit Width Adjustments on Resistive Associative Processors.

Rana A. Abdelaal Hasan Erdem Yantir Ahmed M. Eltawil Fadi J. Kurdahi

FPAP: A Folded Architecture for Energy-Quality Scalable Convolutional Neural Networks.

Yizhi Wang Jun Lin Zhongfeng Wang

SensorNet: A Scalable and Low-Power Deep Convolutional Neural Network for Multimodal Data Classification.

Ali Jafari Ashwinkumar Ganesan Chetan Sai Kumar Thalisetty Varun Sivasubramanian Tim Oates Tinoosh Mohsenin

Overhead Requirements for Stateful Memristor Logic.

Xuan Hu Michael J. Schultis Matthew Kramer Archit Bagla Akshay Shetty Joseph S. Friedman

HCDN: Hybrid-Mode Clock Distribution Networks.

Riadul Islam Matthew R. Guthaus

Addressing Failure and Aging Degradation in MRAM/MeRAM-on-FDSOI Integration.

Hao Cai You Wang Lirida Alves de Barros Naviner Xinning Liu Weiwei Shan Jun Yang Weisheng Zhao

Architectural Exploration to Address the Reliability Challenges for ReRAM-Based Buffer in SSD.

Xiaoqing Zhao Hongbin Sun Longjun Liu Yang Yang Liangliang Dai Xiulong Wu Ruizhi Zhang Jianxiao Wang Nanning Zheng

Ultra-Dense Ring-Shaped Racetrack Memory Cache Design.

Guanda Wang Yue Zhang Beibei Zhang Bi Wu Jiang Nan Xueying Zhang Zhizhong Zhang Jacques-Olivier Klein Dafine Ravelosona Zhaohao Wang Youguang Zhang Weisheng Zhao

Digit-Serial Versatile Multiplier Based on a Novel Block Recombination of the Modified Overlap-Free Karatsuba Algorithm.

Chiou-Yng Lee Jiafeng Xie

Low-Power Approximate Unsigned Multipliers With Configurable Error Recovery.

Honglan Jiang Cong Liu Fabrizio Lombardi Jie Han

Current Feedback Compensation Circuit for 2T1C LED Displays: Analysis and Evaluation.

Thomas Charisoulis Collin Reiman Douglas Frey Miltiadis K. Hatalis

Harmonic Performance of Mixer-First Receivers With Circulant-Symmetric Basebands.

Charley Wilson Brian A. Floyd

Simple, Analytical Expressions of an Effect of Local Signal Imperfections on Four-Phase Passive-Mixer-Based Bandpass Filter.

Kazuki Kishida Tadashi Maeda

On the Design of nth-Order Polyphase All-Pass Filters.

Alireza Asoodeh Shahriar Mirabbasi

Dual-Band Transmission-Line Resistance Compression Network and Its Application to Rectifiers.

Jian Liu Xiu Yin Zhang Quan Xue

A Broadband Multi-Mode Compressive Sensing Current Sensor SoC in 0.16 µm CMOS.

David E. Bellasi Marco Crescentini Domenico Cristaudo Aldo Romani Marco Tartagni Luca Benini

An On-Chip Linear, Squaring, Cubic and Exponential Analog Function Generator.

Spyridon Vlassis Fabian Khateb George Souliotis

Analysis of Reference Error in High-Speed SAR ADCs With Capacitive DAC.

Cheng Li Chi-Hang Chan Yan Zhu Rui Paulo Martins

Demystifying and Mitigating Code-Dependent Switching Distortions in Current-Steering DACs.

Longqiang Lai Xueqing Li Yushen Fu Yongpan Liu Huazhong Yang

A 1-µs Ramp Time 12-bit Column-Parallel Flash TDC-Interpolated Single-Slope ADC With Digital Delay-Element Calibration.

Deyan Levski Martin Wäny Bhaskar Choubey

A Compact, Voltage-Mode Type-I PLL With Gain-Boosted Saturated PFD and Synchronous Peak Tracking Loop Filter.

Ahmad Sharkia Sankaran Aniruddhan Shahriar Mirabbasi Sudip Shekhar

A 2.4 GHz CMOS Class-F Power Amplifier With Reconfigurable Load-Impedance Matching.

Mitra Gilasgar Antoni Barlabé Lluís Pradell

A 1.2-V 2.41-GHz Three-Stage CMOS OTA With Efficient Frequency Compensation Technique.

Shubin Liu Zhangming Zhu Jingyu Wang Lianxi Liu Yintang Yang

Understanding Phase Error and Jitter: Definitions, Implications, Simulations, and Measurement.

Ian Galton Colin Weltin-Wu