Volume 67-I, Number 12, December 2020
Single-Stage Three-Phase AC-DC Resonant Switched Capacitor LED Driver Without Electrolytic Capacitor and Reduced Number of Controlled Switches.

Pedro H. A. Miranda Edilson Mineiro Sá Franscico Wesley G. Rodrigues Fernando Luiz Marcelo Antunes

Compensation Network Optimal Design Based on Evolutionary Algorithm for Inductive Power Transfer System.

Weiming Chen Weiguo Lu Herbert Ho-Ching Iu Tyrone Fernando

A 580 nW Dual-Input Energy Harvester IC Using Multi-Task MPPT and a Current Boost Converter for Heterogeneous Source Combining.

Seneke Chamith Chandrarathna Jong-Wook Lee

Power Inductors Behavioral Modeling Revisited.

Kateryna Stoyka Nicola Femia Giulia Di Capua

CMOS High-Efficiency Wireless Battery Charging System With Global Power Control Through Backward Data Telemetry for Implantable Medical Devices.

Chung-Yu Wu Sung-Hao Wang Li-Yang Tang

Magnetics-Based Efficiency Optimization for Low Power Cascaded-Buck-Boost Converter.

Xi Chen Anirudh Ashok Pise Issa Batarseh

A Class-D FVF LDO With Multi-Level PWM Gate Control, 280-ns Settling Time, and No Overshoot/Undershoot.

Mahmoud R. Elhebeary Chih-Kong Ken Yang

Analysis and Investigation of Internal AC Frequency to Minimize AC Current Magnitude and Reactive Power Circulation in Chain-Link Modular Multilevel Direct DC-DC Converters.

Xin Xiang Xiaotian Zhang Yunjie Gu Geraint P. Chaffey Timothy C. Green

Piecewise Quadratic Slope Compensation Technique for DC-DC Switching Converters.

Abdelali El Aroudi Kuntal Mandal Mohammed S. Al-Numay Damian Giaouris Soumitro Banerjee

A Calibration Technique for Simultaneous Estimation of Actual Sensing Matrix Coefficients on Modulated Wideband Converters.

Zolboo Byambadorj Koji Asami Takahiro J. Yamaguchi Akio Higo Masahiro Fujita Tetsuya Iizuka

An Agile LUT-Based All-Digital Transmitter.

Jun Yang Si Yuan Yang Zi Hao Chen Xiu Yin Zhang

High Accuracy Adaptive Microwave Ranging Using SNR-Based Perception for Coherent Distributed Antenna Arrays.

Serge R. Mghabghab Jeffrey A. Nanzer

A High-Voltage UWB Pulse Generator Using Passive Amplification in 65-nm CMOS.

Shengkai Gao Kambiz Moez

Reduced-Complexity Key Equation Solvers for Generalized Integrated Interleaved BCH Decoders.

Zhenshan Xie Xinmiao Zhang

Design of a Simultaneous Frequency- and Power-Dividing Ratio-Reconfigurable Quadrature Coupler With Simple Tuning Approach.

Xiangguan Tan Jiaxing Sun Feng Lin

Multibeam Phased-Arrays Using Dual-Vector Distributed Beamforming: Architecture Overview and 28 GHz Transceiver Prototypes.

Yi-Shin Yeh Brian A. Floyd

Beamspace Channel Estimation for Massive MIMO mmWave Systems: Algorithm and VLSI Design.

Seyed Hadi Mirfarshbafan Alexandra Gallyas-Sanhueza Ramina Ghods Christoph Studer

Low-Power Wireless Transceiver With 67-nW Differential Pulse-Position Modulation Transmitter.

Mika Pulkkinen Tuomas Haapala Jarno Salomaa Kari Halonen

Flexible High Throughput QC-LDPC Decoder With Perfect Pipeline Conflicts Resolution and Efficient Hardware Utilization.

Vladimir L. Petrovic Milos M. Markovic Dragomir M. El Mezeni Lazar V. Saranovac Andreja Radosevic

Design and Analysis of Replica Piecewise M-Ary DCSK Scheme for Power Line Communications With Asynchronous Impulsive Noise.

Meiyuan Miao Lin Wang Guanrong Chen Weikai Xu

A High-Performance Stochastic LDPC Decoder Architecture Designed via Correlation Analysis.

Qichen Zhang Yun Chen Shixian Li Xiaoyang Zeng Keshab K. Parhi

Adjacent Channel Interference Cancellation in FDM Transmissions.

Michal Harvanek Roman Marsálek Jan Kral Tomás Gotthans Jiri Blumenstein Martin Pospísil Markus Rupp

Decentralized Adaptive Event-Triggered Control for a Class of Uncertain Systems With Deception Attacks and Its Application to Electronic Circuits.

Yiming Sun Jinyong Yu Xinghu Yu Huijun Gao

Pinning-Observer-Based Secure Synchronization Control for Complex Dynamical Networks Subject to DoS Attacks.

Dan Liu Dan Ye

Designing a DDS-Based SoC for High-Fidelity Multi-Qubit Control.

Jeroen P. G. van Dijk Bishnu Patra Stefano Pellerano Edoardo Charbon Fabio Sebastiano Masoud Babaie

Probabilistic-Constrained Distributed Filtering for a Class of Nonlinear Stochastic Systems Subject to Periodic DoS Attacks.

Engang Tian Xinmeng Wang Chen Peng

Distributed Model Predictive Consensus of Heterogeneous Time-Varying Multi-Agent Systems: With and Without Self-Triggered Mechanism.

Huiyan Li Xiang Li

Event-Triggered Fuzzy Control of Repeated Scalar Nonlinear Systems and its Application to Chua's Circuit System.

Yao Wen Hongbin Chang Xiaojie Su Wudhichai Assawinchaichote

Adaptive Fuzzy Output Feedback Event-Triggered Control for a Class of Switched Nonlinear Systems With Sensor Failures.

Jing Zhang Shi Li Zhengrong Xiang

Output Regulation for Switched Systems With Multiple Disturbances.

Ying Zhao Yu Liu Dan Ma

Fault-Tolerant Control Based on Virtual Actuator and Sensor for Discrete-Time Descriptor Systems.

Ye Wang Damiano Rotondo Vicenç Puig Gabriela Cembraño

In-Field Performance Optimization for mm-Wave Mixed-Signal Doherty Power Amplifiers: A Bandit Approach.

Shaojie Xu Fei Wang Hua Wang Justin Romberg

ADP-Based Security Decentralized Sliding Mode Control for Partially Unknown Large-Scale Systems Under Injection Attacks.

Jun Song Long-Yang Huang Hamid Reza Karimi Yugang Niu Jiale Zhou

Extended Dissipative Control for Singularly Perturbed PDT Switched Systems and its Application.

Jing Wang Zhengguo Huang Zhengguang Wu Jinde Cao Hao Shen

Adaptive PI Control for Synchronization of Complex Networks With Stochastic Coupling and Nonlinear Dynamics.

Haibo Gu Kexin Liu Jinhu Lü

Advanced Control Strategies for DC-DC Buck Converters With Parametric Uncertainties via Experimental Evaluation.

Yunfei Yin Jianxing Liu Abraham Marquez Xinpo Lin José I. Leon Sergio Vazquez Leopoldo García Franquelo Ligang Wu

A Framework of L-HC and AM-MKF for Accurate Harmonic Supportive Control Schemes.

Nishant Kumar Bhim Singh Jihong Wang Bijaya Ketan Panigrahi

Robust H∞ Pinning Synchronization for Complex Networks With Event-Triggered Communication Scheme.

Wen Xing Peng Shi Ramesh K. Agarwal Liya Li

Finite-Time Bipartite Tracking Control for Double-Integrator Networked Systems With Cooperative and Antagonistic Interactions.

Boda Ning Xinghuo Yu Guanghui Wen Zhenwei Cao

Controllability of Deep-Coupling Dynamical Networks.

Jie-Ning Wu Xiang Li Guanrong Chen

An Artificial Neural Network Processor With a Custom Instruction Set Architecture for Embedded Applications.

Daniel Valencia Saeed Fouladi Fard Amirhossein Alimohammad

Compact Mixed-Signal Convolutional Neural Network Using a Single Modular Neuron.

Dong-Jin Chang Byeong-Gyu Nam Seung-Tak Ryu

Global Stabilization of the Discrete-Time Integrators System by Bounded Controls.

Xuefei Yang Bin Zhou Frédéric Mazenc

Leader-Following Pinning Synchronization of Multiagent Systems With Impulsive Interlayer Coupling.

Di Ning Xiaoqun Wu Jiaqi Liu Jinhu Lü

Design of a High Temperature 2.37 GHz Voltage-Controlled Oscillator With GaN-on-SiC HEMTs.

Nathan Turner Fariborz Lohrabi Pour Dong Sam Ha

S-Type Locally Active Memristor-Based Periodic and Chaotic Oscillators.

Yan Liang Guangyi Wang Guanrong Chen Yujiao Dong Dongsheng Yu Herbert Ho-Ching Iu

A Secure Distributed Information Sharing Algorithm Based on Attack Detection in Multi-Task Networks.

Qing Shi Minyu Feng Xinyu Li Shiyuan Wang Feng Chen

Power Amplifier With Load Impedance Sensing Incorporated Into the Output Matching Network.

Devon T. Donahue Paolo Enrico de Falco Taylor W. Barton

Interval Functional Observers Design for Time-Delay Systems Under Stealthy Attacks.

Dinh Cong Huong Van Thanh Huynh Hieu Trinh

Dynamic Harmonic Analysis With FIR Filters Designed With O-Splines.

José Antonio de la O. Serna

A Pipelined Reduced Complexity Two-Stages Parallel LMS Structure for Adaptive Beamforming.

Ghattas Akkad Ali Mansour Bachar A. ElHassan Elie Inaty Rafic Ayoubi Jalal Abdulsayed Srar

A Low-Complexity 6DOF Magnetic Tracking System Based on Pre-Computed Data Sets for Wearable Applications.

David Alejandro Fernandez Guzman Paolo Motto Ros Danilo Demarchi Marco Crepaldi

Turing Meets Circuit Theory: Not Every Continuous-Time LTI System Can be Simulated on a Digital Computer.

Holger Boche Volker Pohl

FPGA-Based Design for Online Computation of Multivariate Empirical Mode Decomposition.

Sikender Gull Muhammad Faisal Siddiqui Naveed ur Rehman

Phase Shifter-Relaxed and Control-Relaxed Continuous Steering Multiple Beamforming 4 × 4 Butler Matrix Phased Array.

Han Ren Peizhao Li Yixin Gu Bayaner Arigong

A Resampling Method Based on Filter Designed by Window Function Considering Frequency Aliasing.

Hao Liu Jie Lin Sudi Xu Tianshu Bi Yongzhao Lao

A Spectral-Correlation-Based Blind Calibration Method for Time-Interleaved ADCs.

Han Niu Jie Yuan

Power Scalable Beam-Oriented Digital Predistortion for Compact Hybrid Massive MIMO Transmitters.

Xin Liu Wenhua Chen Long Chen Fadhel M. Ghannouchi Zhenghe Feng

A 1.5 mW Programmable Acoustic Signal Processor for Hearing Assistive Devices With Speech Intelligibility Enhancement.

Yung-Jen Lin Yu-Chi Lee Hao-Min Liu Herming Chiueh Tai-Shih Chi Chia-Hsiang Yang

A High-Performance and Secure TRNG Based on Chaotic Cellular Automata Topology.

Yukui Luo Wenhao Wang Scott Best Yanzhi Wang Xiaolin Xu

A Systematic Approach for Arbitration Expressions.

Ned Bingham Rajit Manohar

Interleaved Write Scheme for Improving Sequential Write Throughput of Multi-Chip MLC NAND Flash Memory Systems.

Hao-Chiao Hong Chih-Ko Yang

Hardware-Algorithm Co-Design of a Compressed Fuzzy Active Learning Method.

Ehsan Jokar Sajad Haghzad Klidbary Hadis Abolfathi Saeed Bagheri Shouraki Ramtin Zand Arash Ahmadi

Challenges and Solutions of the TFET Circuit Design.

Zhiting Lin Panpan Chen Le Ye Xu Yan Lanzhi Dong Shuguang Zhang Zhou Yang Chunyu Peng Xiulong Wu Junning Chen

Ask Less, Get More: Side-Channel Signal Hiding, Revisited.

Itamar Levi Davide Bellizia David Bol François-Xavier Standaert

Implementation of Supersingular Isogeny-Based Diffie-Hellman and Key Encapsulation Using an Efficient Scheduling.

Mohammad Hossein Farzam Siavash Bayat Sarmadi Hatameh Mosanaei-Boorani

Soft Error Detection and Correction Architecture for Asynchronous Bundled Data Designs.

Felipe A. Kuentzer Milos Krstic

Resource-Shared Crypto-Coprocessor of AES Enc/Dec With SHA-3.

Dur-e-Shahwar Kundi Ayesha Khalid Arshad Aziz Chenghua Wang Máire O'Neill Weiqiang Liu

An SRAM-Based PUF With a Capacitive Digital Preselection for a 1E-9 Key Error Probability.

Yizhak Shifman Avi Miller Osnat Keren Yoav Weizman Joseph Shor

SIKE'd Up: Fast Hardware Architectures for Supersingular Isogeny Key Encapsulation.

Brian Koziel A.-Bon E. Ackie Rami El Khatib Reza Azarderakhsh Mehran Mozaffari Kermani

Leakage-Aware Battery Lifetime Analysis Using the Calculus of Variations.

Mostafa Jafari-Nodoushan Bardia Safaei Alireza Ejlali Jian-Jia Chen

A Digital CMOS Silicon Photomultiplier Using Perimeter Gated Single Photon Avalanche Diodes With Asynchronous AER Readout.

Mst Shamim Ara Shawkat Nicole McFarlane

Design of a Refresh-Controller for GC-eDRAM Based FIFOs.

Tzachi Noy Adam Teman

A Probabilistic Prediction-Based Fixed-Width Booth Multiplier for Approximate Computing.

Yajuan He Xilin Yi Ziji Zhang Bin Ma Qiang Li

Using Rotator Transformations to Simplify FFT Hardware Architectures.

Rikard Andersson Mario Garrido

A 270-mV 6T SRAM Using Row-Based Dual-Phase VDD Control in 28-nm CMOS.

Chen-Hsuan Lu Ying-Tuan Hsu Bing-Chen Wu Tsung-Te Liu

Timing Reliability Improvement of Master-Slave Flip-Flops in the Presence of Aging Effects.

Atousa Jafari Mohsen Raji Behnam Ghavami

Secure Path Balanced BDD-Based Pre-Charge Logic for Masking.

Partha De Udaya Parampalli Chittaranjan Mandal

A 22nm, 10.8 μ W/15.1 μ W Dual Computing Modes High Power-Performance-Area Efficiency Domained Background Noise Aware Keyword- Spotting Processor.

Bo Liu Hao Cai Zhen Wang Yuhao Sun Zeyu Shen Wentao Zhu Yan Li Yu Gong Wei Ge Jun Yang Longxing Shi

The Development of Silicon for AI: Different Design Approaches.

Kyuho Jason Lee Jinmook Lee Sungpill Choi Hoi-Jun Yoo

Profile-Based Output Error Compensation for Approximate Arithmetic Circuits.

Ke Chen Weiqiang Liu Jie Han Fabrizio Lombardi

A Multi-Folded MCML for Ultra-Low-Voltage High-Performance in Deeply Scaled CMOS.

Gaetano Palumbo Giuseppe Scotti

Novel Speed-and-Power-Optimized SRAM Cell Designs With Enhanced Self-Recoverability From Single- and Double-Node Upsets.

Aibin Yan Yan Chen Yuanjie Hu Jun Zhou Tianming Ni Jie Cui Patrick Girard Xiaoqing Wen

Weight-Oriented Approximation for Energy-Efficient Neural Network Inference Accelerators.

Zois-Gerasimos Tasoulas Georgios Zervakis Iraklis Anagnostopoulos Hussam Amrouch Jörg Henkel

Field-Free 3T2SOT MRAM for Non-Volatile Cache Memories.

Bi Wu Chao Wang Zhaohao Wang Ying Wang Deming Zhang Dijun Liu Youguang Zhang Xiaobo Sharon Hu

i-SRAM: Interleaved Wordlines for Vector Boolean Operations Using SRAMs.

Akhilesh Jaiswal Amogh Agrawal Mustafa Fayez Ali Saima Sharmin Kaushik Roy

A 28GHz Reflective-Type Transmission-Line-Based Phase Shifter.

Madhavi Kadam Abhishek Kumar Sankaran Aniruddhan

Broadband Balun-LNA Employing Local Feedback gm-Boosting Technique and Balanced Loads for Low-Power Low-Voltage Applications.

Sinyoung Kim Kuduck Kwon

Variability-Aware Modeling of Filamentary Oxide-Based Bipolar Resistive Switching Cells Using SPICE Level Compact Models.

Christopher Bengel Anne Siemon Felix Cüppers Susanne Hoffmann-Eifert Alexander Hardtdegen Moritz von Witzleben Lena Hellmich Rainer Waser Stephan Menzel

A 22-to-47 GHz 2-Stage LNA With 22.2 dB Peak Gain by Using Coupled L-Type Interstage Matching Inductors.

Keping Wang Hao Zhang

Switched-Capacitor Boost-Buck Ladder Converters With Extended Voltage Range in Standard CMOS.

Jingqi Liu Stefano Gregori

Design Method for Compact Multifunctional Reconfigurable Filtering Power Divider on a New Tunable Three-Port Multi-Mode Topology.

Gang Zhang Shicheng Liu Wang Chen Zhuowei Zhang Jiquan Yang

A -20 dBm Passive UHF RFID Tag IC With MTP NVM in 0.13-μm Standard CMOS Process.

Songting Li Cong Li Lei Cai Yu Xiao Zhipeng Luo Dun Yan Shunqiang Xu Hongyi Wang

On Quantized Analog Compressive Sensing Methods for Efficient Resonator Frequency Estimation.

Laxmeesha Somappa Shuchin Aeron Adarsh G. Menon Sameer Sonkusale Ashwin A. Seshia Maryam Shojaei Baghini

A 7.4-MHz Tri-Mode DC-DC Buck Converter With Load Current Prediction Scheme and Seamless Mode Transition for IoT Applications.

Woojin Hong Myunghee Lee

A 65-81 GHz CMOS Dual-Mode VCO Using High Quality Factor Transformer-Based Inductors.

Ali Basaligheh Parvaneh Saffari Igor M. Filanovsky Kambiz Moez

Synthesis, Design, and Synchronization Analysis of Coupled Linear Electrical Networks.

Karlheinz Ochs Dennis Michaelis Enver Solan Petro Feketa Alexander Schaum Thomas Meurer

Cell Weighting and Gate Inductive Peaking Techniques for Wideband Noise Suppression in Distributed Amplifiers.

Baset Mesgari Saeed Saeedi Abumoslem Jannesari

An N-Path Filter Design Methodology With Harmonic Rejection, Power Reduction, Foldback Elimination, and Spectrum Shaping.

Poorya Karami Amirali Banaeikashani Baktash Behmanesh Seyed Mojtaba Atarodi

Single-Slope Look-Ahead Ramp ADC for CMOS Image Sensors.

Mohamed R. Elmezayen Bingxing Wu Suat U. Ay

A 0.045- to 2.5-GHz Frequency Synthesizer With TDC-Based AFC and Phase Switching Multi-Modulus Divider.

Ang Hu Dongsheng Liu Kefeng Zhang Lanqi Liu Xuecheng Zou

True-Time-Delay Beamforming Receiver With RF Re-Sampling.

Kalle Spoof Vishnu Unnikrishnan Mahwish Zahra Kari Stadius Marko Kosunen Jussi Ryynänen

A 12-GHz Calibration-Free All-Digital PLL for FMCW Signal Generation With 78 MHz/μs Chirp Slope and High Chirp Linearity.

Zhengkun Shen Haoyun Jiang Heyi Li Zherui Zhang Fan Yang Junhua Liu Huailin Liao

Galvanically Isolated DC-DC Converter Using a Single Isolation Transformer for Multi-Channel Communication.

Alessandro Parisi Egidio Ragonese Nunzio Spina Giuseppe Palmisano

Automated Deep Neural Learning-Based Optimization for High Performance High Power Amplifier Designs.

Lida Kouhalvandi Osman Ceylan Serdar Özoguz

A CMOS Peak Detect and Hold Circuit With Auto-Adjust Charging Current for NS-Scale Pulse ToF Lidar Application.

Hao Zheng Rui Ma Xiayu Wang Maliang Liu Zhangming Zhu

A High Area-Efficiency 14-bit SAR ADC With Hybrid Capacitor DAC for Array Sensors.

Qihui Zhang Ning Ning Jing Li Qi Yu Zhong Zhang Kejun Wu

Exploiting Sensitivity Enhancement in Micro-wave Planar Sensors Using Intermodulation Products With Phase Noise Analysis.

Mohammad Abdolrazzaghi Mojgan Daneshmand

A 0.5-V 3.69-nW Complementary Source-Follower-C Based Low-Pass Filter for Wearable Biomedical Applications.

Zexue Liu Yi Tan Heyi Li Haoyun Jiang Junhua Liu Huailin Liao

High-Selectivity Single-Ended/Balanced DC-Block Filtering Impedance Transformer and Its Application on Power Amplifier.

Zheng Zhuang Yongle Wu Mengdan Kong Weimin Wang

Linearity Theory of Stochastic Phase-Interpolation Time-to-Digital Converter.

Khalil Gammoh Cameron K. Peterson David A. Penry Shiuh-Hua Wood Chiang

A Low-Power Signal-Dependent Sampling Technique: Analysis, Implementation, and Applications.

Ehsan Hadizadeh Hafshejani Mohammad Elmi Nima Taherinejad Ali Fotowat-Ahmady Shahriar Mirabbasi

Deep Neural Network (DNN) Optimized Design of 2.45 GHz CMOS Rectifier With 73.6% Peak Efficiency for RF Energy Harvesting.

Wendy Wee Yee Lau Heng Wah Ho Liter Siek

A Low Walk Error Analog Front-End Circuit With Intensity Compensation for Direct ToF LiDAR.

Xiayu Wang Rui Ma Dong Li Hao Zheng Maliang Liu Zhangming Zhu

A PVT-Resilient, Highly-Linear Fifth-Order Ring-Oscillator-Based Filter.

Hatem Osman Edgar Sánchez-Sinencio

Switched-Capacitor-Assisted Power Gating for Ultra-Low Standby Power in CMOS Digital ICs.

Sivaneswaran Sankar Mayank Goel Po-Hung Chen V. Ramgopal Rao Maryam Shojaei Baghini

A Broadband Doherty Power Amplifier With Hybrid Class-EFJ Mode.

Zhiwei Zhang Zhiqun Cheng Hang Li Huajie Ke Y. Jay Guo

Efficient Offline Outer/Inner DAC Mismatch Calibration in Wideband ΔΣ ADCs.

Hui Liu Pieter Rombouts Georges G. E. Gielen

A Self-Matching Complementary-Reference Sensing Scheme for High-Speed and Reliable Toggle Spin Torque MRAM.

Jinkai Wang Chenyu Lian Yining Bai Guanda Wang Zhizhong Zhang Zhenyi Zheng Lei Chen Kelian Lin Kun Zhang Youguang Zhang Xiulong Wu Sorin Cotofana Yue Zhang

Analysis and Design of Power Supply Circuits for RF Oscillators.

Alessandro Urso Yue Chen Johan Dijkhuis Yao-Hong Liu Masoud Babaie Wouter A. Serdijn

A Crossbar-Based In-Memory Computing Architecture.

Xinxin Wang Mohammed Affan Zidan Wei D. Lu

Broadband Fully Integrated GaN Power Amplifier With Minimum-Inductance BPF Matching and Two-Transistor AM-PM Compensation.

Gholamreza Nikandish Robert Bogdan Staszewski Anding Zhu

Analysis and Design of Integrated Voltage Regulators for Supply Noise Rejection During System-Level ESD.

Yang Xiu Elyse Rosenbaum

Design of a Wideband Variable-Gain Amplifier With Self-Compensated Transistor for Accurate dB-Linear Characteristic in 65 nm CMOS Technology.

Lingshan Kong Hang Liu Xi Zhu Chirn Chye Boon Chenyang Li Zhe Liu Kiat Seng Yeo

LDO-Free Power Management System: A 10-bit Pipelined ADC Directly Powered by Inductor-Based Boost Converter With Ripple Calibration.

Hanyu Wang Sai-Weng Sin Chi-Seng Lam Franco Maloberti Rui Paulo Martins

Incremental Delta-Sigma ADCs: A Tutorial Review.

Zhichao Tan Chia-Hung Chen Youngcheol Chae Gabor C. Temes


Volume 67-I, Number 11, November 2020
An Open Loop Digitally Controlled Hybrid Supply Modulator Achieving High Efficiency for Envelope Tracking With Baseband up to 200-MHz.

Zeqiang Chen Qin Xia Li Dong Shiquan Fan Kefeng Han Zhuoqi Guo Zhongming Xue Li Geng

Fully-Integrated Reconfigurable Charge Pump With Two-Dimensional Frequency Modulation for Self-Powered Internet-of-Things Applications.

Hao-Chung Cheng Wen-Yuan Tsai Po-Han Chen Po-Hung Chen

Design of Stage-Selective Negative Voltage Generator to Improve On-Chip Power Conversion Efficiency for Neuron Stimulation.

Shiau-Pin Lin Ming-Dou Ker

A 115× Conversion-Ratio Thermoelectric Energy-Harvesting Battery Charger for the Internet of Things.

Ming-Jie Chung Tetsuya Hirose Takahito Ono Po-Hung Chen

A Low-Profile High-Efficiency Fast Battery Charger With Unifiable Constant-Current and Constant-Voltage Regulation.

Yong Qu Wei Shu Lei Qiu Yen-Cheng Kuan Shiuh-Hua Wood Chiang Joseph S. Chang

A 470-nA Quiescent Current and 92.7%/94.7% Efficiency DCT/PWM Control Buck Converter With Seamless Mode Selection for IoT Application.

Wen-Liang Zeng Yuan Ren Chi-Seng Lam Sai-Weng Sin Weng-Keong Che Ran Ding Rui Paulo Martins

An Error Amplifier With a Low Power Multi-Mode Voltage Clamper for Transient Enhancement and High Reliability.

Ze-kun Zhou Anqi Wang Yunkun Wang Jiani Wang Yue Shi Zhuo Wang Bo Zhang

Digital Battery Management Unit With Built-In Resistance Compensation, Modulated Frequency Detection and Multi-Mode Protection for Fast, Efficient and Safe Charging.

Ji-Xuan Li Sai-Weng Sin U. Fat Chio Ya-Jie Wu Chi-Seng Lam Rui Paulo Martins

Resistance Compression Dual-Band Differential CMOS RF Energy Harvester Under Modulated Signal Excitation.

Nagaveni S Pramod Kaddi Ashwini Khandekar Ashudeb Dutta

An NMOS Digital LDO With NAND-Based Analog-Assisted Loop in 28-nm CMOS.

Xiaofei Ma Yan Lu Qiang Li Wing-Hung Ki Rui Paulo Martins

A 4-MHz Digitally Controlled Voltage-Mode Buck Converter With Embedded Transient Improvement Using Delay Line Control Techniques.

Qiwei Huang Chenchang Zhan Jinwook Burm

An Efficient Massive MIMO Detector Based on Second-Order Richardson Iteration: From Algorithm to Flexible Architecture.

Jiaming Tu Mengdan Lou Jian-Fei Jiang Dewu Shu Guanghui He

A 56-to-66 GHz CMOS Low-Power Phased-Array Receiver Front-End With Hybrid Phase Shifting Scheme.

Majid Yaghoobi Milad Haghi Kashani Mohammad Yavari Shahriar Mirabbasi

High Sensitivity and Dynamic-Range 25 Gbaud Silicon Receiver Chipset With Current-Controlled DC Adjustment Path and Cube-Shape Ge-on-Si PD.

Xiaojun Bi Jian Li Zhen Gu Bo Tang Chaodi Sheng Yan Yang Qinfen Xu

Generic Wireless Power Transfer and Data Communication System Based on a Novel Modulation Technique.

Aref Trigui Mohamed Ali Sami Hached Jean-Pierre David Ahmed Chiheb Ammari Yvon Savaria Mohamad Sawan

Design of a 4.2-to-5.1 GHz Ultralow-Power Complementary Class-B/C Hybrid-Mode VCO in 65-nm CMOS Fully Supported by EDA Tools.

Ricardo Martins Nuno Lourenço Nuno Horta Shenke Zhong Jun Yin Pui-In Mak Rui Paulo Martins

FPGA-Based Low-Visibility Enhancement Accelerator for Video Sequence by Adaptive Histogram Equalization With Dynamic Clip-Threshold.

Canran Xu Zizhao Peng Xuanzhen Hu Wei Zhang Lei Chen Fengwei An

High-Speed Area-Efficient VLSI Architecture of Three-Operand Binary Adder.

Amit Kumar Panda Rakesh Palisetty Kailash Chandra Ray

A Wide-Voltage-Range Transition-Detector With In-Situ Timing-Error Detection and Correction Based on Pulsed-Latch Design in 28 nm CMOS.

Xinchao Shang Minyi Lu Chengjun Wu Yiming Xiang Jiaming Xu Weiwei Shan

A Hybrid 3D Interconnect With 2x Bandwidth Density Employing Orthogonal Simultaneous Bidirectional Signaling for 3D NoC.

Srinivasan Gopal Sourav Das Partha Pratim Pande Deukhyoun Heo

Always-On 674μ W@4GOP/s Error Resilient Binary Neural Networks With Aggressive SRAM Voltage Scaling on a 22-nm IoT End-Node.

Alfio Di Mauro Francesco Conti Pasquale Davide Schiavone Davide Rossi Luca Benini

A Partially Binarized Hybrid Neural Network System for Low-Power and Resource Constrained Human Activity Recognition.

Antonio De Vita Alessandro Russo Danilo Pau Luigi Di Benedetto Alfredo Rubino Gian Domenico Licciardo

Machine Learning-Based Approach for Hardware Faults Prediction.

Kasem Khalil Omar Eldash Ashok Kumar Magdy A. Bayoumi

A 108 F2/Bit Fully Reconfigurable RRAM PUF Based on Truly Random Dynamic Entropy of Jitter Noise.

Qiang Zhao Wenhan Zheng Xiaojin Zhao Yuan Cao Feng Zhang Man-Kay Law

A 0.04% BER Strong PUF With Cell-Bias-Based CRPs Filtering and Background Offset Calibration.

Jiahao Liu Yan Zhu Chi-Hang Chan Rui Paulo Martins

A 1.45 GHz All-Digital Spread Spectrum Clock Generator in 65nm CMOS for Synchronization-Free SoC Applications.

Davide De Caro Gennaro Di Meo Ettore Napoli Nicola Petra Antonio Giuseppe Maria Strollo

A Fully Integrated High-Power-Supply-Rejection Linear Regulator With an Output-Supplied Voltage Reference.

Yan-Peng Chen Kea-Tiong Tang

A +0.66/-0.73 °C Inaccuracy, 1.99-μW Time-Domain CMOS Temperature Sensor With Second-Order ΔΣ Modulator and On-Chip Reference Clock.

Yang Chen Zihao Jiao Weijun Guan Quan Sun Xiaofei Wang Ruizhi Zhang Hong Zhang

InP DHBT Single-Stage and Multiplicative Distributed Amplifiers for Ultra- Wideband Amplification.

Temitope Odedeyi Stavros Giannakopoulos Herbert Zirath Izzat Darwazeh

An Efficient Sinusoid-Like Pseudo Random Sequence Modulator/Demodulator System With Reduced Adjacent Channel Leakage and High Rejection to Random and Systematic Interference.

Jian Shao Aydin Ilker Karsilayan Christopher T. Rodenbeck José Silva-Martínez

Improved Continuous-Time Delta-Sigma Modulators With Embedded Active Filtering.

Saravana Manivannan Shanthi Pavan

A Switched-Capacitor DC-DC Converter Powering an LC Oscillator to Achieve 85% System Peak Power Efficiency and -65dBc Spurious Tones.

Alessandro Urso Yue Chen Robert Bogdan Staszewski Johan Dijkhuis Stefano Stanzione Yao-Hong Liu Wouter A. Serdijn Masoud Babaie

A Calibration-Free Ring-Oscillator PLL With Gain Tracking Achieving 9% Jitter Variation Over PVT.

Xiaofeng Yang Chi-Hang Chan Yan Zhu Rui Paulo Martins

LDO With Improved Common Gate Class-AB OTA Handles any Load Capacitors and Provides Fast Response to Load Transients.

Cristian Raducan Alina-Teodora Grajdeanu Cosmin-Sorin Plesa Marius Neag Andrei Negoita Marina Dana Topa

A Configurable Noise-Shaping Band-Pass SAR ADC With Two-Stage Clock-Controlled Amplifier.

Zihao Jiao Yang Chen Xiaobo Su Quan Sun Xiaofei Wang Ruizhi Zhang Hong Zhang

Ultra-Low Power CMOS Image Sensor With Two-Step Logical Shift Algorithm-Based Correlated Double Sampling Scheme.

Keunyeol Park Seonwoo Yeom Soo Youn Kim

A 3.3-mW 25.2-to-29.4-GHz Current-Reuse VCO Using a Single-Turn Multi-Tap Inductor and Differential-Only Switched-Capacitor Arrays With a 187.6-dBc/Hz FOM.

Yunbo Huang Yong Chen Hao Guo Pui-In Mak Rui Paulo Martins

Multi-Channel Analog-to-Digital Conversion Techniques Using a Continuous-Time Delta-Sigma Modulator Without Reset.

R. S. Ashwin Kumar Nagendra Krishnapura

A 14b, Twofold Time-Interleaved Incremental ΔΣ ADC Using Hardware Sharing.

Patrick Vogelmann Johannes Wagner Maurits Ortmanns

Near-Optimal Decoding of Incremental Delta-Sigma ADC Output.

Bo Wang Man-Kay Law Samir Brahim Belhaouari Amine Bermak

A 0.5-V Supply, 36 nW Bandgap Reference With 42 ppm/°C Average Temperature Coefficient Within -40 °C to 120 °C.

Chi-Wa U Wen-Liang Zeng Man-Kay Law Chi-Seng Lam Rui Paulo Martins

Spur Minimization Techniques for Ultra-Low-Power Injection-Locked Transmitters.

Chung-Ching Lin Huan Hu Subhanshu Gupta

An Inverter-Based Continuous Time Sigma Delta ADC With Latency-Free DAC Calibration.

Yuekang Guo Jing Jin Xiaoming Liu Jianjun Zhou

A 12-Bit 125-MS/s 2.5-Bit/Cycle SAR-Based Pipeline ADC Employing a Self-Biased Gain Boosting Amplifier.

Chulhyun Park Tao Chen Kyoohyun Noh Dadian Zhou Suraj Prakash Mohammadhossein Naderi Alizadeh Aydin I. Karsilayan Degang Chen Randall L. Geiger José Silva-Martínez

Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems - ISICAS 2020.

Elena Blokhina


Volume 67-I, Number 10, October 2020
A Soft-Charging-Based SC DC-DC Boost Converter With Conversion-Ratio-Insensitive High Efficiency for Energy Harvesting in Miniature Sensor Systems.

Hyungmin Gi Junyoung Park Yeohoon Yoon Seungchul Jung Sang Joon Kim Yoonmyung Lee

Sampled-Data Modeling for PCM and ZVS Controlled Critical Conduction Mode (CrCM) Active Clamp Flyback (ACF) Converter at Variable Switching Frequency.

Shengyou Xu Qinsong Qian Ran Shi Syed Sikandar Shah Qi Liu Shengli Lu Weifeng Sun

Double-Sub-Stream M-ary Differential Chaos Shift Keying Wireless Communication System Using Chaotic Shape-Forming Filter.

Chao Bai Hai-Peng Ren Géza Kolumbán

Synchronizer-Free Digital Link Controller.

Johannes Bund Matthias Függer Christoph Lenzen Moti Medina

Event-Triggered Synchronization for Nonlinear Multi-Agent Systems With Sampled Data.

Zeyu Han Wallace K. S. Tang Qiang Jia

Distributed Fixed-Time Triggering-Based Containment Control for Networked Nonlinear Agents Under Directed Graphs.

Tao Xu Guannan Lv Zhisheng Duan Zhiyong Sun Junzhi Yu

Position Regulator With Variable Cut-Off Frequency Mechanism for Hybrid-Type Stepper Motors.

Seok-Kyoon Kim Choon Ki Ahn

A Semi-Supervised Learning Approach for Identification of Piecewise Affine Systems.

Yingwei Du Fangzhou Liu Jianbin Qiu Martin Buss

Stability Enhancement of One-Cycle Controlled Buck-Boost Converters With a Composite Function Embedded.

Y. L. Guo Q. H. Wu L. Wang G. F. Tang

Projected Kernel Least Mean $p$ -Power Algorithm: Convergence Analyses and Modifications.

Ji Zhao Hongbin Zhang Gang Wang Jian Andrew Zhang

A Precision-Scalable Energy-Efficient Convolutional Neural Network Accelerator.

Wenjian Liu Jun Lin Zhongfeng Wang

DT-CNN: An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation.

Dongseok Im Donghyeon Han Sungpill Choi Sanghoon Kang Hoi-Jun Yoo

The Hardware and Algorithm Co-Design for Energy-Efficient DNN Processor on Edge/Mobile Devices.

Jinsu Lee Sanghoon Kang Jinmook Lee Dongjoo Shin Donghyeon Han Hoi-Jun Yoo

Design Approach for Ring Amplifiers.

Joschua Conrad Patrick Vogelmann Mohamed A. Mokhtar Maurits Ortmanns

A 100-Gbps 4-Lane Transceiver for 47-dB Loss Copper Cable in 28-nm CMOS.

Takayasu Norimatsu Kenji Kogo Takemasa Komori Naohiro Kohmu Fumio Yuki Takashi Kawamoto

A Transient-Enhanced Output-Capacitorless LDO With Fast Local Loop and Overshoot Detection.

Nanqi Liu Degang Chen

An 80 MHz Bandwidth and 26.8 dBm OOB IIP3 Transimpedance Amplifier With Improved Nested Feedforward Compensation and Multi-Order Filtering.

Hyunki Jung Dzuhri Radityo Utomo Seok-Kyun Han Jusung Kim Sang-Gug Lee

A 37-40-GHz Low-Phase-Imbalance CMOS Attenuator With Tail-Capacitor Compensation Technique.

Chenxi Zhao Xing Zeng Lin Zhang Huihua Liu Yiming Yu Yunqiu Wu Kai Kang

A DC-50 GHz CMOS Switched-Type Attenuator With Capacitive Compensation Technique.

Peng Gu Dixian Zhao Xiaohu You

A 5-13.5 Gb/s Multistandard Receiver With High Jitter Tolerance Digital CDR in 40-nm CMOS Process.

Zhou Shu Shalin Huang Zhipeng Li Peng Yin Jiandong Zang Dongbing Fu Fang Tang Amine Bermak

An X-Band Class-J Power Amplifier With Active Load Modulation to Boost Drain Efficiency.

Amirreza Alizadeh Saleh Hassanzadehyamchi Ali Medi Sayfe Kiaei

A Thermal/RF Hybrid Energy Harvesting System With Rectifying-Combination and Improved Fractional-OCV MPPT Method.

Zemin Liu Yu-Pin Hsu Mona Mostafa Hella

A 92%-Efficiency Battery Powered Hybrid DC-DC Converter for IoT Applications.

Mahmoud R. Elhebeary Chih-Kong Ken Yang

Rapid Simulation of Photonic Integrated Circuits Using Verilog-A Compact Models.

Md Jubayer Shawon Vishal Saxena

Real-Time Distance Evaluation System for Wireless Localization.

Giovanni Piccinni Gianfranco Avitabile Giuseppe Coviello Claudio Talarico

Bootstrapped Driver and the Single-Event-Upset Effect.

Mohammed Al-daloo Mohamed A. Abufalgha Alex Yakovlev Basel Halak

Enhanced Linearity in FD-SOI CMOS Body-Input Analog Circuits - Application to Voltage-Controlled Ring Oscillators and Frequency-Based ΣΔ ADCs.

Javad Ahmadi-Farsani Virginia Zúñiga-González Teresa Serrano-Gotarredona Bernabé Linares-Barranco José M. de la Rosa

Time-Based Sensor Interface for Dopamine Detection.

Olaitan Olabode Marko Kosunen Vishnu Unnikrishnan Tommi Palomäki Tomi Laurila Kari Halonen Jussi Ryynänen

CMOS 256-Pixel/480-Pixel Photovoltaic-Powered Subretinal Prosthetic Chips With Wide Image Dynamic Range and Bi/Four-Directional Sharing Electrodes and Their Ex Vivo Experimental Validations With Mice.

Chung-Yu Wu Chi-Kuan Tseng Jung-Hsing Liao Chuan-Chin Chiao Fang-Liang Chu Yueh-Chun Tsai Jun Ohta Toshihiko Noda

8fJ/Step Bandpass ADC With Digitally Assisted NTF Re-Configuration.

Sanjeev Tannirkulam Chandrasekaran Gaurav Kapoor Arindam Sanyal

Slewing Mitigation Technique for Switched Capacitor Circuits.

Manjunath Kareppagoudr Jyotindra R. Shakya Emanuel Caceres Yu-Wen Kuo Gabor C. Temes

MWSCAS Guest Editorial Special Issue Based on the 62nd International Midwest Symposium on Circuits and Systems.

José Silva-Martínez José G. Delgado-Frias


Volume 67-I, Number 9, September 2020
Analysis and Design Method of Multiple-Output Switched-Capacitor Voltage Regulators With a Reduced Number of Power Electronic Components.

Wookpyo Hong Zhiyuan Zhou Bai Nguyen Nghia Tang Jong-Hoon Kim Partha Pratim Pande Deukhyoun Heo

Effective Resistance of Finite Two-Dimensional Grids Based on Infinity Mirror Technique.

Rassul Bairamkulov Eby G. Friedman

Design of Downlink Synchronization for Millimeter Wave Cellular System Based on Multipath Division Multiple Access.

Kang-Lun Chiu Pai-Hsiang Shen Bing-Ru Lin Wei-Han Hsiao Shyh-Jye Jou Chia-Chi Huang

Improved Sliding Mode Control With Time Delay Estimation for Motion Tracking of Cell Puncture Mechanism.

Mingyang Xie Shengdong Yu Haiping Lin Jinyu Ma Hongtao Wu

Data-Driven Distributed Coordinated Control for Cloud-Based Model-Free Multiagent Systems With Communication Constraints.

Haoran Tan Zhiqiang Miao Yaonan Wang Min Wu Zhiwu Huang

H∞ Control for Switched Systems Based on Dynamic Event-Triggered Strategy and Quantization Under State-Dependent Switching.

Yajing Ma Zhanjie Li Jun Zhao

Towards Optimal Robustness of Network Controllability: An Empirical Necessary Condition.

Yang Lou Lin Wang Kim Fung Tsang Guanrong Chen

Distributed Consensus of Layered Multi-Agent Systems Subject to Attacks on Edges.

Guanghui Wen Peijun Wang Tingwen Huang Jinhu Lü Fan Zhang

A Logic Synthesis Methodology for Low-Power Ternary Logic Circuits.

Sunmean Kim Sung-Yun Lee Sunghye Park Kyung Rok Kim Seokhyeong Kang

Impact of Variability on Processor Performance in Negative Capacitance FinFET Technology.

Hussam Amrouch Girish Pahwa Amol D. Gaidhane Chetan K. Dabhi Florian Klemme Om Prakash Yogesh Singh Chauhan

Iterative Approach With Optimization-Based Execution Scheme for Parameter Identification of Distributed Parameter Systems and its Application in Secure Communication.

Rui-Guo Li Huai-Ning Wu

Unified Stability Criteria of Random Nonlinear Time-Varying Impulsive Switched Systems.

Ticao Jiao Wei Xing Zheng Shengyuan Xu

MSE Analysis of a Multi-Loop LMS Pseudo-Random Noise Canceler for Mixed-Signal Circuit Calibration.

Derui Kong Ian Galton

Framework for Automated Earthquake Event Detection Based on Denoising by Adaptive Filter.

Sudipta Bose Arijit De Indrajit Chakrabarti

O⁴-DNN: A Hybrid DSP-LUT-Based Processing Unit With Operation Packing and Out-of-Order Execution for Efficient Realization of Convolutional Neural Networks on FPGA Devices.

Pouya Haghi Mehdi Kamal Ali Afzali-Kusha Massoud Pedram

A Time-Efficient Automatic Circuit Approximation Method.

Ziji Zhang Yajuan He Bo Zhang

Continuous-Flow Matrix Transposition Using Memories.

Mario Garrido Peter Pirsch

Comparison and Extension of Approximate 4-2 Compressors for Low-Power Approximate Multipliers.

Antonio Giuseppe Maria Strollo Ettore Napoli Davide De Caro Nicola Petra Gennaro Di Meo

A Stride-Based Convolution Decomposition Method to Stretch CNN Acceleration Algorithms for Efficient and Flexible Hardware Implementation.

Chen Yang Yizhou Wang Xiaoli Wang Li Geng

A Perturbative Stochastic Galerkin Method for the Uncertainty Quantification of Linear Circuits.

Paolo Manfredi Riccardo Trinchero Dries Vande Ginste

A Miniaturized 0.003 mm2 PNP-Based Thermal Sensor for Dense CPU Thermal Monitoring.

Ori Bass Joseph Shor

Data-Dependent Selection of Amplitude and Phase Equalization in a Quarter-Rate Transmitter for Memory Interfaces.

Joo-Hyung Chae Yong-Un Jeong Suhwan Kim

A Neural Network Assistance AMPPT Solar Energy Harvesting System With 89.39% Efficiency and 0.01-0.5% Tracking Errors.

Yuanfei Wang Ping Luo Xiao Zeng Dingming Peng Zhaoji Li Bo Zhang

A 10.7b 300MS/s Two-Step Digital-Slope ADC in 65nm CMOS.

Chun-Chieh Peng Ta-Shun Chu

A 1.0-8.3 GHz Cochlea-Based Real-Time Spectrum Analyzer With Δ-Σ-Modulated Digital Outputs.

Yingying Wang Gihan J. Mendis Jin Wei-Kocsis Arjuna Madanayake Soumyajit Mandal

A 12-Bit Dynamic Tracking Algorithm-Based SAR ADC With Real-Time QRS Detection.

Zhong Zhang Qi Yu Jing Li Xiangzhan Wang Ning Ning

NS-CIM: A Current-Mode Computation-in-Memory Architecture Enabling Near-Sensor Processing for Intelligent IoT Vision Nodes.

Zheyu Liu Erxiang Ren Fei Qiao Qi Wei Xinjun Liu Li Luo Huichan Zhao Huazhong Yang

A Robust Local Positive Feedback Based Performance Enhancement Strategy for Non-Recycling Folded Cascode OTA.

Yongqing Wang Qisheng Zhang Samson Shenglong Yu Xiao Zhao Hieu Trinh Peng Shi

A Mismatch Calibration Technique for SAR ADCs Based on Deterministic Self-Calibration and Stochastic Quantization.

Mojtaba Bagheri Filippo Schembari Naser Pourmousavian Hashem Zare-Hoseini David G. Hasko Robert Bogdan Staszewski

A Single Slope ADC With Row-Wise Noise Reduction Technique for CMOS Image Sensor.

Kaiming Nie Wanbin Zha Xiaolin Shi Jiawen Li Jiangtao Xu Jianguo Ma


Volume 67-I, Number 8, August 2020
A Distributed Power Delivery Grid Based on Analog-Assisted Digital LDOs With Cooperative Regulation and IR-Drop Reduction.

Yasu Lu Fan Yang Feng Chen Philip K. T. Mok

Analysis and Design of a New Current-Source Output Load Resonant Converter With High Capability in Line and Load Regulation.

Alireza Khoshsaadat Arash Khoshooei Mohammad Mohammadi

Load Effect Analysis and Maximum Power Transfer Tracking of CPT System.

Tarek M. Mostafa Dai Bui Aam Muharam Aiguo Patrick Hu Reiji Hattori

A Framed-Pulsewidth Modulation Transceiver for High-Speed Broadband Communication Links.

Sejun Jeon Woohyun Kwon Jong-Hyeok Yoon Taehun Yoon Kyeongha Kwon Jaehyeok Yang Hyeon-Min Bae

Baseband Model for Uniformly Sampled RF-PWM.

Fernando Chierchie Gustavo J. González Juan Ignacio Morales Eduardo E. Paolini Juan E. Cousseau Pablo Sergio Mandolesi

Reduced-Order Observer Based-Fault Estimation for Markovian Jump Systems With Time-Varying Generally Uncertain Transition Rates.

Dunke Lu Xiaohang Li

Data-Based H∞ Control for the Constrained-Input Nonlinear Systems and its Applications in Chaotic Circuit Systems.

Ling Ren Guoshan Zhang Chaoxu Mu

Asymptotic Output Tracking of Probabilistic Boolean Control Networks.

Bingquan Chen Jinde Cao Yiping Luo Leszek Rutkowski

Distortion Contribution Analysis for Identifying EM Immunity Failures.

Lammert Duipmans Dusan M. Milosevic Arnoud P. van der Wel Peter G. M. Baltus

Theoretical Foundations of Memristor Cellular Nonlinear Networks: A DRM2-Based Method to Design Memcomputers With Dynamic Memristors.

Alon Ascoli Ronald Tetzlaff Sung-Mo Steve Kang Leon O. Chua

Exponentially Fitted Cubature Kalman Filter With Application to Oscillatory Dynamical Systems.

Abhinoy Kumar Singh

I/Q Imbalance Compensation in Wideband Millimeter-Wave Transmitters Using a Single Undersampling ADC.

Mohammed Almoneer Patrick Mitran Slim Boumaiza

Analysis of Spectral Degradation and Error Compensation in 5G NR Digital Polar Transmitters.

Christoph Preissl Peter Preyler Thomas Mayer Andreas Springer Mario Huemer

Theory and Algorithms for Pulse Signal Processing.

Gabriel Nallathambi José C. Príncipe

Real-Time Light Field Denoising Using a Novel Linear 4-D Hyperfan Filter.

Sanduni U. Premaratne Namalka Liyanage Chamira U. S. Edussooriya Chamith Wijenayake

A High Resolution DPWM Based on Synchronous Phase-Shifted Circuit and Delay Line.

Xin Cheng Wanjing Shao Lixin Xu Yongqiang Zhang Guangjun Xie Zhang Zhang

VPQC: A Domain-Specific Vector Processor for Post-Quantum Cryptography Based on RISC-V Architecture.

Guozhu Xin Jun Han Tianyu Yin Yuchao Zhou Jianwei Yang Xu Cheng Xiaoyang Zeng

Optimized Implementation of SIKE Round 2 on 64-bit ARM Cortex-A Processors.

Hwajeong Seo Pakize Sanal Amir Jalali Reza Azarderakhsh

3.125-to-28.125 Gb/s 4.72 mW/Gb/s Multi- Standard Parallel Transceiver Supporting Channel-Independent Operation in 40-nm CMOS.

Jong-Hyeok Yoon Kyeongha Kwon Hyeon-Min Bae

Design of a 60 GHz 32% PAE Class-AB PA with 2nd Harmonic Control in 45-nm PD-SOI CMOS.

Radu Ciocoveanu Robert Weigel Amelie Hagelauer Vadim Issakov

High Efficiency Doherty Power Amplifier Using Dual-Adaptive Biases.

Haiwei Zhang Runze Zhan Yuan Chun Li Jinchao Mou

A Clockless Temperature-Compensated Nanowatt Analog Front-End for Wake-Up Radios Based on a Band-Pass Envelope Detector.

Alessia Maria Elgani Francesco Renzini Luca Perilli Eleonora Franchi Scarselli Antonio Gnudi Roberto Canegallo Giulio Ricotti

A 12-Bit 100-MS/s Pipelined-SAR ADC With PVT-Insensitive and Gain-Folding Dynamic Amplifier.

Shubin Liu Haolin Han Yi Shen Zhangming Zhu

A Two-Step ADC With Statistical Calibration.

Yi-Long Yu Paul J. Hurst Bernard C. Levy Stephen H. Lewis

Precision Improvement of Power-Efficient Capacitive Senor Readout Circuit Using Multi-Nested Clocks.

Longjie Zhong Donglai Xu Xinquan Lai Yuheng Wang Xinqin Liao Zhongyuan Fang Yuanjin Zheng

A Wide Dynamic Range Laser Radar Receiver Based on Input Pulse-Shaping Techniques.

Aram Baharmast Sami Kurtti Juha Kostamovaara

Low-Power Receivers for Wireless Capacitive Coupling Transmission in 3-D-Integrated Massively Parallel CMOS Imager.

Grzegorz Blakiewicz Miron Klosowski Waldemar Jendernalik Jacek Jakusz Stanislaw Szczepanski

sBSNN: Stochastic-Bits Enabled Binary Spiking Neural Network With On-Chip Learning for Energy Efficient Neuromorphic Computing at the Edge.

Minsuk Koo Gopalakrishnan Srinivasan Yong Shim Kaushik Roy

A Time-Domain 147fsrms 2.5-MHz Bandwidth Two-Step Flash-MASH 1-1-1 Time-to-Digital Converter With Third-Order Noise-Shaping and Mismatch Correction.

Ying Wu Ping Lu Robert Bogdan Staszewski

IMAC: In-Memory Multi-Bit Multiplication and ACcumulation in 6T SRAM Array.

Mustafa Fayez Ali Akhilesh Jaiswal Sangamesh Kodge Amogh Agrawal Indranil Chakraborty Kaushik Roy


Volume 67-I, Number 7, July 2020
Corrections to "Two Reciprocal Power Theorems for Passive Linear Time-Invariant Multiports".

Frédéric Broydé Evelyne Clavelier

Active Interface for Piezoelectric Harvesters Based on Multi-Variable Maximum Power Point Tracking.

Luigi Costanzo Alessandro Lo Schiavo Massimo Vitelli

A 100-V Battery Charger Voltage Extender IC With 97% Efficiency at 4-A and ±0.5% Voltage Accuracy.

Bradford L. Hunter Wallace E. Matthews

Interpreting Frame Transformations in AC Systems as Diagonalization of Harmonic Transfer Functions.

Yitong Li Yunjie Gu Timothy C. Green

Temperature Compensation of Crystal References in NB-IoT Modems.

Tuomas Haapala Antonio Liscidini Kari A. I. Halonen

Reconfigurable Digital Delta-Sigma Modulation Transmitter Architecture for Concurrent Multi-Band Transmission.

Nishant Kumar Karun Rawat Fadhel M. Ghannouchi

Energy-Constraint Formation for Multiagent Systems With Switching Interaction Topologies.

Jianxiang Xi Le Wang Jian-Fei Zheng Xiaojun Yang

Monolithic 3D Carbon Nanotube Memory for Enhanced Yield and Integration Density.

Yanan Sun Weifeng He Zhigang Mao Hailong Jiao Volkan Kursun

A New Class of Digital Circuits for the Design of Entropy Sources in Programmable Logic.

Tommaso Addabbo Ada Fort Riccardo Moretti Marco Mugnaini Hadis Takaloo Valerio Vignoli

An Adaptive SOSM Controller Design by Using a Sliding-Mode-Based Filter and its Application to Buck Converter.

Lu Liu Wei Xing Zheng Shihong Ding

Synchronization Analysis on Two-Layer Networks of Fractional-Order Systems: IntraIayer and InterIayer Synchronization.

Xia Zhang Longkun Tang Jinhu Lü

Bias-Compensated Sparsity-Aware NLMM Algorithms for Robust Adaptive Echo Cancellation.

Zongsheng Zheng Zhigang Liu Junbo Zhao

Dual Pulse Shaping Transmission and Equalization for High-Speed Wideband Wireless Communication Systems.

Hao Zhang Xiaojing Huang J. Andrew Zhang Y. Jay Guo

Feature Adaptive Filtering: Exploiting Hidden Sparsity.

Hamed Yazdanpanah Paulo S. R. Diniz Markus V. S. Lima

Energy-Efficient Precharge-Free Ternary Content Addressable Memory (TCAM) for High Search Rate Applications.

Telajala Venkata Mahendra Sheikh Wasmir Hussain Sandeep Mishra Anup Dandapat

Lattice-Based Cryptoprocessor for CCA-Secure Identity-Based Encryption.

Claudia Patricia Renteria-Mejia Jaime Velasco-Medina

SC-DDPL: A Novel Standard-Cell Based Approach for Counteracting Power Analysis Attacks in the Presence of Unbalanced Routing.

Davide Bellizia Simone Bongiovanni Mauro Olivieri Giuseppe Scotti

Compact Code-Based Signature for Reconfigurable Devices With Side Channel Resilience.

Jingwei Hu Yao Liu Ray C. C. Cheung Shivam Bhasin San Ling Huaxiong Wang

Improving Architectures of Binary Signed-Digit CORDIC With Generic/Specific Initial Angles.

Hossein Mahdavi Somayeh Timarchi

A 17 Gbps 156 fJ/bit Two-Channel Optical Receiver With Optical-Input Split and Delay in 65 nm CMOS.

Mohammad Taherzadeh-Sani Bahaa Radi Mohammadreza Sanadgol Nezami Michaël Ménard Odile Liboiron-Ladouceur Frederic Nabki

An Ultra-Low-Power Low-Voltage WuTx With Built-In Analog Sensing for Self-Powered WSN.

Mohammad Amin Karami Kambiz Moez

A 33-ppm/°C 240-nW 40-nm CMOS Wakeup Timer Based on a Bang-Bang Digital-Intensive Frequency-Locked-Loop for IoT Applications.

Ming Ding Zhihao Zhou Stefano Traferro Yao-Hong Liu Christian Bachmann Fabio Sebastiano

Analysis and Design of N-Path Band-Pass Filters With Negative Base Band Resistance.

Erez Zolkov Roy Weiss Emanuel Cohen

Wideband Class-F-1 Power Amplifier With Dual-/Quad-Mode Bandpass Response.

Li-Heng Zhou Xinyu Zhou Wing Shing Chan Tushar Sharma Derek Ho

An Efficient Spur-Aliasing-Free Spectral Calibration Technique in Time-Interleaved ADCs.

Han Niu Jie Yuan

A Reconfigurable 0.1-10 MHz DT Passive Dynamic Zoom ADC for Cellular Receivers.

Osman Emir Erol Sule Ozev

Unloaded Quality Factor of Transmission Line Resonators With Capacitors.

Alexander Zakharov Michael Ilchenko

Order Statistics and Optimal Selection of Unit Elements in DACs to Enhance the Static Linearity.

Hua Fan Jingtao Li Franco Maloberti

Power-Scaling Output-Compensated Three-Stage OTAs for Wide Load Range Applications.

Joseph Riad Johan J. Estrada-López Ivan R. Padilla-Cantoya Edgar Sánchez-Sinencio

A 1.22 mW 2.4 GHz PLL Using a Single-Ring-Oscillator-Based Integrator With Background Frequency Calibration.

Guan-Yu Su Shen-Iuan Liu

An 8-bit Low-Cost String DAC With Gradient Errors Suppression to Achieve 16-bit Linearity.

Nanqi Liu Jim Todsen Degang Chen


Volume 67-I, Number 6, June 2020
Corrections to "A Design of Input-Decimation Technique for Recursive DFT/IDFT Algorithm".

Chih-Feng Wu Chun-Hung Chen Muh-Tian Shiue

Comprehensive Conception of High Step-Up DC-DC Converters With Coupled Inductor and Voltage Multipliers Techniques.

Lenon Schmitz Denizar Cruz Martins Roberto Francisco Coelho

Efficient Successive Over Relaxation Detectors for Massive MIMO.

Anlan Yu Shusen Jing Xiaosi Tan Zhizhen Wu Zhiyuan Yan Zaichen Zhang Xiaohu You Chuan Zhang

A 7.8 Gb/s/pin, 1.96 pJ/b Transceiver With Phase-Difference-Modulation Signaling for Highly Reflective Interconnects.

Sooeun Lee Jaeyoung Seo Kyunghyun Lim Jahyun Koo Jae-Yoon Sim Hong-June Park Byungsub Kim

Low-Latency Unfolded-KES Architecture for Emerging Storage Class Memories.

Seungsik Moon Jeongwon Choe Youngjoo Lee

Polar Compiler: Auto-Generator of Hardware Architectures for Polar Encoders.

Zhiwei Zhong Warren J. Gross Zaichen Zhang Xiaohu You Chuan Zhang

Fully Distributed Containment Control for Multiple Euler-Lagrange Systems Over Directed Graphs: An Event-Triggered Approach.

Tao Xu Yuqing Hao Zhisheng Duan

Bounded Input Dissipativity of Linearized Circuit Models.

Tommaso Bradde Stefano Grivet-Talocia Giuseppe Carlo Calafiore Anton V. Proskurnikov Zohaib Mahmood Luca Daniel

A Novel Approach to State and Unknown Input Estimation for Takagi-Sugeno Fuzzy Models With Applications to Fault Detection.

Dong Zhao Hak-Keung Lam Yueyang Li Steven X. Ding Shuai Liu

Memory Output-Feedback Integral Sliding Mode Control for Furuta Pendulum Systems.

Jing Xu Yugang Niu Cheng-Chew Lim Peng Shi

Linearity Enhanced Harmonic-Modulated Impedance Inverter Doherty-Like Power Amplifier.

Xinyu Zhou Wing Shing Chan Shichang Chen Wenjie Feng Jingzhou Pang Derek Ho

MultiObjective Evolutionary Approach to Grey-Box Identification of Buck Converter.

Faizal M. F. Hafiz Akshya Swain Eduardo M. A. M. Mendes Luis Antonio Aguirre

Homogeneous Models of Nonlinear Circuits.

Ricardo Riaza

A Novel Asynchronous CA Neuron Model: Design of Neuron-Like Nonlinear Responses Based on Novel Bifurcation Theory of Asynchronous Sequential Logic Circuit.

Kentaro Takeda Hiroyuki Torikai

On Feedback Sample Selection Methods Allowing Lightweight Digital Predistorter Adaptation.

Jan Kral Tomás Gotthans Roman Marsálek Michal Harvanek Markus Rupp

Area Efficient VLSI Architectures for Weak Signal Detection in Additive Generalized Cauchy Noise.

Siva Ram Krishna Vadali Subrahmanyam Mula Priyadip Ray Saswat Chakrabarti

Angular-Domain Massive MIMO Detection: Algorithm, Implementation, and Design Tradeoffs.

Mojtaba Mahdavi Ove Edfors Viktor Öwall Liang Liu

Presynaptic Spike-Driven Spike Timing-Dependent Plasticity With Address Event Representation for Large-Scale Neuromorphic Systems.

Jongkil Park Sang-Don Jung

Design and Evaluation of Low-Complexity Radiation Hardened CMOS Latch for Double-Node Upset Tolerance.

Jing Guo Shanshan Liu Lei Zhu Fabrizio Lombardi

A High-Performance Scalable Shared-Memory SVD Processor Architecture Based on Jacobi Algorithm and Batcher's Sorting Network.

Seyed Mohamad Reza Shahshahani Hamid Reza Mahdiani

Design and Implementation of a Low-Latency Modular Multiplication Algorithm.

Erdinç Öztürk

A Flexible 18-Channel Multi-Hit Time-to-Digital Converter for Trigger-Based Data Acquisition Systems.

Chithra Nagendra Krishnapura

I/Q Balance-Enhanced Wideband Receiver Front-End for 2G/3G/4G/5G NR Cellular Applications.

Junghwan Han Kuduck Kwon

A Robust 8-Bit Non-Volatile Computing-in-Memory Core for Low-Power Parallel MAC Operations.

Sai Zhang Kejie Huang Haibin Shen

A Wideband Frequency Divider With Programmable Odd/Even Division Factors and Quadrature/Symmetrical Outputs.

Nasrin Rezaei-Hosseinabadi Rasoul Dehghani Sayed Ali Khajehoddin Kambiz Moez

Ultra-Low-Voltage CMOS Crystal Oscillators.

Mariana Siniscalchi Fernando Silveira Carlos Galup-Montoro

A 28-GHz 16-Gb/s High Efficiency 16-QAM Transmitter in 65-nm CMOS.

Xiangyu Meng Milad Kalantari Baoyong Chi Wenhua Chen Zhijian Chen Xiaoling Lin C. Patrick Yue

Bandwidth Enhancement of Doherty Power Amplifier Using Modified Load Modulation Network.

Meng Li Jingzhou Pang Yue Li Anding Zhu

Phase Coherent Frequency Hopping in Direct Digital Synthesizers and Phase Locked Loops.

Steven Eugene Turner Joseph D. Cali

A Closed-Loop, All-Electronic Pixel-Wise Adaptive Imaging System for High Dynamic Range Videography.

Jie Zhang Jonathan P. Newman Xiao Wang Chetan Singh Thakur John Rattray Ralph Etienne-Cummings Matthew A. Wilson

A 0.36-V 5-MS/s Time-Mode Flash ADC With Dickson-Charge-Pump-Based Comparators in 28-nm CMOS.

Ali Esmailiyan Filippo Schembari Robert Bogdan Staszewski


Volume 67-I, Number 5, May 2020
Relaxed Multi-Instant Fuzzy State Estimation Design of Discrete-Time Nonlinear Systems and its Application: A Deep Division Approach.

Xiangpeng Xie Dong Yue Ju H. Park Mohammed Chadli

Locking Range Maximization in Injection-Locked Class-E Oscillator - A Case Study for Optimizing Synchronizability.

Yoji Yabe Hisa-Aki Tanaka Hiroo Sekiya Masaki Nakagawa Fumito Mori Kensuke Utsunomiya Akira Keida

Adaptive Finite-Time Control for High-Order Nonlinear Systems With Multiple Uncertainties and its Application.

Huifang Min Shengyuan Xu Jason Gu Guozeng Cui

Optimizing Synchronizability of Multilayer Networks Based on the Graph Comparison Method.

Hui Liu Jiangqiao Xu Zengyang Li Xiaoping Wang Jinhu Lü Zhigang Zeng

On the Coexistence of Multiple Limit Cycles in H-Bridge Wireless Power Transfer Systems With Zero Current Switching Control.

Abdelali El Aroudi Jianbin Huang Mohammed S. Al-Numay Zhen Li

Dynamic State Estimation of Power Systems by $p$ -Norm Nonlinear Kalman Filter.

Wanli Wang Chi K. Tse Shiyuan Wang

Tile Adaptation for Workload Balancing of 3D-HEVC Encoder in Homogeneous Multicore Systems.

Mário Saldanha Gustavo Sanchez César A. M. Marcon Luciano Agostini

Cascaded Form Sparse FIR Filter Design.

Wangqian Chen Mo Huang Wen Bin Ye Xin Lou

Fast 2D Convolution Algorithms for Convolutional Neural Networks.

Chao Cheng Keshab K. Parhi

SERAD: Soft Error Resilient Asynchronous Design Using a Bundled Data Protocol.

Sai Aparna Aketi Smriti Gupta Huimei Cheng Joycee Mekie Peter A. Beerel

An Architecture for Real-Time Arbitrary and Variable Sampling Rate Conversion With Application to the Processing of Harmonic Signals.

Fco. Javier Galindo Guarch Philippe Baudrenghien Juan-Manuel Moreno Aróstegui

A Low-Voltage-Driven High-Voltage SRAM Pixel Circuit for Power Reduction in a Digital Micro-Display Panel.

Je-Kwang Cho Minsu Jeong

Deep In-Memory Architectures for Machine Learning-Accuracy Versus Efficiency Trade-Offs.

Mingu Kang Yongjune Kim Ameya D. Patil Naresh R. Shanbhag

Codes for Limited Magnitude Error Correction in Multilevel Cell Memories.

Shanshan Liu Pedro Reviriego Fabrizio Lombardi

A Self-Timed Voltage-Mode Sensing Scheme With Successive Sensing and Checking for STT-MRAM.

Yongliang Zhou Hao Cai Lei Xie Menglin Han Mingyue Liu Shi Xu Bo Liu Weisheng Zhao Jun Yang

An Approximate Memory Architecture for Energy Saving in Deep Learning Applications.

Duy Thanh Nguyen Huy Hung Nguyen Hyun Kim Hyuk-Jae Lee

Reducing Energy of Approximate Feature Extraction in Heterogeneous Architectures for Sensor Inference via Energy-Aware Genetic Programming.

Yinqi Tang Hongyang Jia Naveen Verma

Low-Latency Reconfigurable Entropy Digital True Random Number Generator With Bias Detection and Correction.

Leonardo Bosco Carreira Paige Danielson Arya A. Rahimi Maximiliam Luppe Subhanshu Gupta

One-Sided Schmitt-Trigger-Based 9T SRAM Cell for Near-Threshold Operation.

Keonhee Cho Juhyun Park Tae Woo Oh Seong-Ook Jung

In-Hardware Training Chip Based on CMOS Invertible Logic for Machine Learning.

Naoya Onizawa Sean C. Smithson Brett H. Meyer Warren J. Gross Takahiro Hanyu

A Low-Power BFSK Transmitter Architecture for Biomedical Applications.

Mahmoud A. A. Ibrahim Marvin Onabajo

A Millimeter-Wave Reconfigurable On-Chip Coupler With Tunable Power-Dividing Ratios in 0.13- $\mu$ m BiCMOS Technology.

Yang Yang Zhang Ju Hou Xi Zhu Wenquan Che Quan Xue

Dark Count Rate Modeling in Single-Photon Avalanche Diodes.

Aymeric Panglosse Philippe Martin-Gonthier Olivier Marcelot Cédric Virmontois Olivier Saint-Pé Pierre Magnan

A Memristive Multiplier Using Semi-Serial IMPLY-Based Adder.

David Radakovits Nima Taherinejad Mengye Cai Théophile Delaroche Shahriar Mirabbasi

A High-Throughput Hardware Architecture for AV1 Non-Directional Intra Modes.

Marcel Moscarelli Corrêa Bianca Waskow Jones W. Goebel Daniel Palomino Guilherme Corrêa Luciano Volcan Agostini

Low 1/f3 Noise Corner LC-VCO Design Using Flicker Noise Filtering Technique in 22nm FD-SOI.

Zhiwei Zong Giovanni Mangraviti Piet Wambacq

An RF-Energy Harvester and IR-UWB Transmitter for Ultra-Low-Power Battery-Less Biosensors.

Stefan Schmickl Thomas Faseth Harald Pretl

Design of D-Band Transformer-Based Gain-Boosting Class-AB Power Amplifiers in Silicon Technologies.

Xinyan Tang Johan Nguyen Alaaeldien Medra Khaled Khalaf Akshay Visweswaran Björn Debaillie Piet Wambacq

A Low Noise Fault Tolerant Radiation Hardened 2.56 Gbps Clock-Data Recovery Circuit With High Speed Feed Forward Correction in 65 nm CMOS.

Stefan Biereigel Szymon Kulis Pedro Leitao Rui Francisco Paulo Moreira Paul Leroux Jeffrey Prinzie

A Sub- $\mu$ W Reconfigurable Front-End for Invasive Neural Recording That Exploits the Spectral Characteristics of the Wideband Neural Signal.

José Luis Valtierra Manuel Delgado-Restituto Rafaella Fiorelli Ángel Rodríguez-Vázquez

Guest Editorial Special Issue on the IEEE LASCAS 2019 and the IEEE NEWCAS 2019.

Elena Blokhina


Volume 67-I, Number 4, April 2020
On the Design of Low-Power Hybrids for Full Duplex Simultaneous Bidirectional Signaling Links.

Chen Yuan Ahmed Naguib Sudip Shekhar

Discrete-Communication-Based Bipartite Tracking of Networked Robotic Systems via Hierarchical Hybrid Control.

Teng-Fei Ding Ming-Feng Ge Zhi-Wei Liu Yan-Wu Wang Hamid Reza Karimi

Theoretical Foundations of Memristor Cellular Nonlinear Networks: Stability Analysis With Dynamic Memristors.

Alon Ascoli Ioannis Messaris Ronald Tetzlaff Leon O. Chua

Low-Energy Voice Activity Detection via Energy-Quality Scaling From Data Conversion to Machine Learning.

Jinq Horng Teo Shuai Cheng Massimo Alioto

Balanced $(3+2\log n)\Delta G$ Adders for Moduli Set $\{{2}^{n+1}, 2^{n}+2^{n-1}-1, 2^{n+1}-1\}$.

Ghassem Jaberipur Bardia Nadimi

A Low-Spur and Intrinsically Aligned IL-PLL With Self-Feedback Injection Locked RO and Pseudo-Random Injection Locked Technique.

Na Xi Fujiang Lin Tian-Chun Ye

A Fast-Settling Integer- $N$ Frequency Synthesizer Using Switched-Gain Control.

Haixiang Zhao Soumyajit Mandal

Optimizing Weight Mapping and Data Flow for Convolutional Neural Networks on Processing-in-Memory Architectures.

Xiaochen Peng Rui Liu Shimeng Yu

Aging Compensation With Dynamic Computation Approximation.

Heesu Kim Jongho Kim Hussam Amrouch Jörg Henkel Andreas Gerstlauer Kiyoung Choi Hanmin Park

Flow Distribution for Electric Vehicles Under Nodal-Centrality-Based Resource Allocation.

Xiaowen Bi Wallace K. S. Tang

Fast Sensing-Time and Hardware-Efficient Eigenvalue-Based Blind Spectrum Sensors for Cognitive Radio Network.

Rohit B. Chaurasiya Rahul Shrestha

Analytical Study of the Impacts of Stochastic Load Fluctuation on the Dynamic Voltage Stability Margin Using Bifurcation Theory.

Georgia Pierrou Xiaozhe Wang

Analysis of Start-Up Response in a Digitally Controlled Boost Converter With Constant Power Load and Mitigation of Inrush Current Problems.

Abdelali El Aroudi Blanca Areli Martínez-Treviño Enric Vidal-Idiarte Luis Martínez-Salamero

Evolution of Function-Call Network Reliability in Android Operating System.

Anzhuo Yao Pengfei Sun Shunkun Yang Daqing Li

A Nonlinear Behavioral Ferrite-Core Inductance Model Able to Reproduce Thermal Transients in Switch-Mode Power Supplies.

Matteo Lodi Federico Bizzarri Daniele Linaro Alberto Oliveri Angelo Maurizio Brambilla Marco Storace

Tunable Block Floating-Point Quantizer With Fractional Exponent for Compressing Non-Uniformly Distributed Signals.

Pei-Yun Tsai Tien-I Yang Ching-Horng Lee Li-Mei Chen Sz-Yuan Lee

Deep Neural Network Acceleration Based on Low-Rank Approximated Channel Pruning.

Zhen Chen Zhibo Chen Jianxin Lin Sen Liu Weiping Li

Hardware-Compliant Compressive Image Sensor Architecture Based on Random Modulations and Permutations for Embedded Inference.

Wissam Benjilali William Guicquero Laurent Jacques Gilles Sicard

Current-Based Data-Retention-Time Characterization of Gain-Cell Embedded DRAMs Across the Design and Variations Space.

Robert Giterman Andrea Bonetti Ester Vicario Bravo Tzachi Noy Adam Teman Andreas Burg

A Standalone FPGA-Based Miner for Lyra2REv2 Cryptocurrencies.

Jean-François Têtu Louis-Charles Trudeau Michiel Van Beirendonck Alexios Balatsoukas-Stimming Pascal Giard

A Power-Efficient CNN Accelerator With Similar Feature Skipping for Face Recognition in Mobile Devices.

Sangyeob Kim Juhyoung Lee Sanghoon Kang Jinsu Lee Hoi-Jun Yoo

On the Cross-Correlation Based Loop Gain Adaptation for Bang-Bang CDRs.

Javier Ardila Hanssel Morales Elkim Roa

Low-Power All-Digital Multiphase DLL Design Using a Scalable Phase-to-Digital Converter.

Nico Angeli Klaus Hofmann

76-dB DR, 48 fJ/Step Second-Order VCO-Based Current-to-Digital Converter.

Akshay Jayaraj Mohammadhadi Danesh Sanjeev Tannirkulam Chandrasekaran Arindam Sanyal

A Low Voltage and Low Power 10-bit Non-Binary 2b/Cycle Time and Voltage Based SAR ADC.

Jian Luo Yang Liu Jing Li Ning Ning Kejun Wu Zhen Liu Qi Yu

A -24 dB in-Band Noise-Immunity Mutual Capacitance Readout System for Variable Refresh Rate of Active-Matrix OLED Display.

Seung Hoon Ko

Utilization of Multi-Resonant Defected Ground Structure Resonators in the Oscillator Feedback for Phase Noise Reduction of K-Band VCOs in 0.18- $\mu$ m CMOS Technology.

Nusrat Jahan Chen Baichuan Adel Barakat Ramesh K. Pokharel

On-Chip Solar Energy Harvester and PMU With Cold Start-Up and Regulated Output Voltage for Biomedical Applications.

Diego Cabello Esteban Ferro Óscar Pereira-Rial Beatriz Martínez-Vázquez Víctor M. Brea Juan M. Carrillo Paula López

Towards Wave Digital Memcomputing With Physical Memristor Models.

Karlheinz Ochs Dennis Michaelis Enver Solan

Needle-Type Imager Sensor With Band-Pass Composite Emission Filter and Parallel Fiber-Coupled Laser Excitation.

Erus Rustami Kiyotaka Sasagawa Kenji Sugie Yasumi Ohta Makito Haruta Toshihiko Noda Takashi Tokuda Jun Ohta

Guest Editorial Special Section on the IEEE International Symposium on Circuits and Systems 2019.

Elena Blokhina


Volume 67-I, Number 3, March 2020
Single-Inductor Dual-Input Dual-Output Battery-PV Hybrid System With 2-D Adaptive On-Time Control for Internet of Things.

Hui-Hsuan Lee Chi-Wei Liu Makoto Takamiya Po-Hung Chen

A 2.12-V Vpp11.67-pJ/pulse Fully Integrated UWB Pulse Generator in 65-nm CMOS Technology.

Shengkai Gao Kambiz Moez

Single Plastic Optical Fiber, Multiple Channel Data Link for Sensing Applications With PCB Implemented Transmitter and Receiver.

Simon Fuchs Michael Pritz Georgios Tsolaridis Andreas Jehle Jürgen Biela

Adaptive Event-Triggered Predictive Control for Finite Time Microgrid.

Huaicheng Yan Jiwen Han Hao Zhang Xisheng Zhan Yueying Wang

Finite-Time H∞ Filtering for State-Dependent Uncertain Systems With Event-Triggered Mechanism and Multiple Attacks.

Jinliang Liu Meng Yang Xiangpeng Xie Chen Peng Huaicheng Yan

Robust Filtering of Discrete-Time Linear Systems With Correlated Process and Measurement Noises.

Le Yin Yu Shen

Distributed Adaptive Observer-Based Control for Output Consensus of Heterogeneous MASs With Input Saturation Constraint.

Yuezu Lv Junjie Fu Guanghui Wen Tingwen Huang Xinghuo Yu

Semi-Supervised Broad Learning System Based on Manifold Regularization and Broad Network.

Huimin Zhao Jianjie Zheng Wu Deng Yingjie Song

Retrain-Less Weight Quantization for Multiplier-Less Convolutional Neural Networks.

Jaewoong Choi Byeong Yong Kong In-Cheol Park

Simulations of Memristors Using the Poincaré Map Approach.

Zbigniew Galias

Observer-Based Asynchronous Fault Detection for Conic-Type Nonlinear Jumping Systems and its Application to Separately Excited DC Motor.

Peng Cheng Jiancheng Wang Shuping He Xiaoli Luan Fei Liu

Self-Learning Hot Data Prediction: Where Echo State Network Meets NAND Flash Memories.

Qiwu Luo Xiaoxin Fang Yichuang Sun Jiaqiu Ai Chunhua Yang

Synchronization in Multiplex Networks of Chua's Circuits: Theory and Experiments.

Daniel A. Burbano L. Soudeh Yaghouti Carlo Petrarca Massimiliano de Magistris Mario di Bernardo

ADEPOS: A Novel Approximate Computing Framework for Anomaly Detection Systems and its Implementation in 65-nm CMOS.

Sumon Kumar Bose Bapi Kar Mohendra Roy Pradeep Kumar Gopalakrishnan Lei Zhang Aakash Patil Arindam Basu

Improving the Performance of Multitask Diffusion APA via Controlled Inter-Cluster Cooperation.

Vinay Chakravarthi Gogineni Mrityunjoy Chakraborty

Generative Multi-Symbol Architecture of the Binary Arithmetic Coder for UHDTV Video Encoders.

Grzegorz Pastuszak

Quadruple Cross-Coupled Dual-Interlocked-Storage-Cells-Based Multiple-Node-Upset-Tolerant Latch Designs.

Aibin Yan Yafei Ling Jie Cui Zhili Chen Zhengfeng Huang Jie Song Patrick Girard Xiaoqing Wen

An Efficient Implementation of the NewHope Key Exchange on FPGAs.

Yufei Xing Shuguo Li

On the Complexity of Hybrid n-Term Karatsuba Multiplier for Trinomials.

Yin Li Shantanu Sharma Yu Zhang Xingpo Ma Chuanda Qi

Zero-Crossing-Time-Difference Model for Stability Analysis of VCO-Based OTAs.

Sarthak Kalani Peter R. Kinget

Design, Implementation, and Experimental Verification of 5 Gbps, 800 Mrad TID and SEU-Tolerant Optical Modulators Drivers.

Gabriele Ciarpi Guido Magazzù Fabrizio Palla Sergio Saponara

A High Efficiency Multi-Mode Outphasing RF Power Amplifier With 31.6 dBm Peak Output Power in 45nm CMOS.

Aritra Banerjee Lei Ding Rahmi Hezar

High-Efficiency Low Voltage Inverse Class-F Power Amplifier Design Based on Harmonic Control Network Analysis.

Milad Ekhteraei Mohsen Hayati Farzin Shama

Self-Tuned Class-D Audio Amplifier With Post-Filter Digital Feedback Implemented on Digital Signal Controller.

Miroslaw Sobaszek

120-GHz 8-Stage Broadband Amplifier With Quantitative Stagger Tuning Technique.

Tae Hwan Jang Kyung Pil Jung Jin-Seob Kang Chul Woo Byeon Chul Soon Park

Experimental Verification of the Impact of Analog CMS on CIS Readout Noise.

Raffaele Capoccia Assim Boukhayma Christian C. Enz

EMI Reduction in Class-D Amplifiers by Actively Reducing PWM Ripple.

Christiaan E. Lokin Ronan A. R. van der Zee Daniël Schinkel Bram Nauta

A 60-m Range 6.16-mW Laser-Power Linear-Mode LiDAR System With Multiplex ADC/TDC in 65-nm CMOS.

Maliang Liu Haizhu Liu Xiongzheng Li Zhangming Zhu

Jitter Minimization in Digital PLLs with Mid-Rise TDCs.

Luca Avallone Michael Peter Kennedy Saleh Karman Carlo Samori Salvatore Levantino

Analysis of Wandering Spur Patterns in a Fractional-N Frequency Synthesizer With a MASH-Based Divider Controller.

Dawei Mai Michael Peter Kennedy


Volume 67-I, Number 2, February 2020
Global Stabilization of Switched Feedforward Nonlinear Time-Delay Systems Under Asynchronous Switching.

Zhenhua Li Lijun Long

Attack-Resilient Event-Triggered Controller Design of DC Microgrids Under DoS Attacks.

Songlin Hu Ping Yuan Dong Yue Chunxia Dou Zihao Cheng Yunning Zhang

An Inverted Ring Oscillator Noise-Shaping Time-to-Digital Converter With In-Band Noise Reduction and Coherent Noise Cancellation.

Xiang Yi Zhipeng Liang Chirn Chye Boon Guangyin Feng Fanyi Meng Kaituo Yang

Matrix Decomposition Based Low-Complexity FIR Filter: Further Results.

Hao Wang Zhijin Zhao Li Zhao

Res-DNN: A Residue Number System-Based DNN Accelerator Unit.

Nasim Samimi Mehdi Kamal Ali Afzali-Kusha Massoud Pedram

Design of Miniaturized On-Chip Bandpass Filters Using Inverting-Coupled Inductors in (Bi)-CMOS Technology.

He Zhu Xi Zhu Yang Yang Yichuang Sun

Miniature Dual-Band Quadrature Coupler With Arbitrary Power Division Ratios Over the Two Bands.

Yo-Shen Lin Chen-Yun Lin

Clock-Voltage Co-Regulator With Adaptive Power Budget Tracking for Robust Near-Threshold-Voltage Sequential Logic Circuits.

Bai Noi Nguyen Nghia Tang Wookpyo Hong Zhiyuan Zhou Deukhyoun Heo

A 48 pW, 0.34 V, 0.019%/V Line Sensitivity Self-Biased Subthreshold Voltage Reference With DIBL Effect Compensation.

Yuwei Wang Quan Sun Hongrui Luo Xiaofei Wang Ruizhi Zhang Hong Zhang

A Charge-Domain Switched-Gm-C Band-Pass Filter Using Interleaved Semi-Passive Charge-Sharing Technique.

Yang Xu Praveen Kumar Venkatachala Yue Hu Spencer Leuenberger Gabor C. Temes Un-Ku Moon

A Compact Fully Passive Loop Filter-Based Continuous Time ΔΣ Modulator for Multi-Channel Biomedical Applications.

Laxmeesha Somappa Maryam Shojaei Baghini

Passive SC ΔΣ Modulator Based on Pipelined Charge-Sharing Rotation in 28-nm CMOS.

Hongying Wang Filippo Schembari Robert Bogdan Staszewski

Modelling and Verification of Nonlinear Electromechanical Coupling in Micro-Scale Kinetic Electromagnetic Energy Harvesters.

Andrii Sokolov Dhiman Mallick Saibal Roy Michael Peter Kennedy Elena Blokhina

Multi-Level Design Influences on Robustness Evaluation of 7nm FinFET Technology.

Leonardo Heitich Brendler Alexandra L. Zimpeck Cristina Meinhardt Ricardo Augusto da Luz Reis

Enhanced Linear Iterative Detector for Massive Multiuser MIMO Uplink.

Xiaosi Tan Jiejun Jin Kai Sun Yunhao Xu Muhao Li Yaping Zhang Zaichen Zhang Xiaohu You Chuan Zhang

A Novel Physical Layer Authentication With PAPR Reduction Based on Channel and Hardware Frequency Responses.

Prasidh Ramabadran Pavel Afanasyev David Malone Miriam Leeser Darragh McCarthy Bill O'Brien Ronan Farrell John Dooley

A 40.68-MHz Active Rectifier With Hybrid Adaptive On/Off Delay-Compensation Scheme for Biomedical Implantable Devices.

Lin Cheng Xinyuan Ge Langyu Hu Yuan Yao Wing-Hung Ki Chi-Ying Tsui

Theoretical Foundations of Memristor Cellular Nonlinear Networks: Memcomputing With Bistable-Like Memristors.

Ronald Tetzlaff Alon Ascoli Ioannis Messaris Leon O. Chua

A Fully Programmable eFPGA-Augmented SoC for Smart Power Applications.

Francesco Renzini Claudio Mucci Davide Rossi Eleonora Franchi Scarselli Roberto Canegallo

Residual Syntax Elements Analysis and Design Targeting High-Throughput HEVC CABAC.

Fábio Luís Livi Ramos Alessandro Via Piana Saggiorato Bruno Zatt Marcelo Schiavon Porto Sergio Bampi

Design of Magnetic Non-Volatile TCAM With Priority-Decision in Memory Technology for High Speed, Low Power, and High Reliability.

Chengzhi Wang Deming Zhang Lang Zeng Weisheng Zhao

A 4K × 2K@60fps Multifunctional Video Display Processor for High Perceptual Image Quality.

Hang Wang Xiang Li Daqiang Han Shiquan Yu Shouyi Yin Shaojun Wei Nanning Zheng Xuchong Zhang Tiancheng Wang Wenchang Li Qiubo Chen Pengju Ren Xiaogang Wu Hongbin Sun Zhiqiang Jiang

Slicing FIFOs for On-Chip Memory Bandwidth Exhaustion.

Mattis Hasler Robert Wittig Emil Matús Gerhard P. Fettweis

Kernel-Based Resource Allocation for Improving GPU Throughput While Minimizing the Activity Divergence of SMs.

Zois-Gerasimos Tasoulas Iraklis Anagnostopoulos

3D-HEVC Bipartition Modes Encoder and Decoder Design Targeting High-Resolution Videos.

Gustavo Sanchez Mário Saldanha Ramon Fernandes Rodrigo Cataldo Luciano Agostini César A. M. Marcon

Synthesis of DDRO Timing Monitors by Delay-Tracking and Static Timing Analysis.

Jahnavi Kasturi Rangan Nasim Pour Aryan Jens Bargfrede Lantao Wang Christian Funke Helmut Graeb

Processing Near Sensor Architecture in Mixed-Signal Domain With CMOS Image Sensor of Convolutional-Kernel-Readout Method.

Zhe Chen Xinjun Liu Huazhong Yang Huifeng Zhu Erxiang Ren Zheyu Liu Kaige Jia Li Luo Xuan Zhang Qi Wei Fei Qiao

Design of a 77-GHz LC-VCO With a Slow-Wave Coplanar Stripline-Based Inductor.

Ekta Sharma Abdelhalim A. Saadi Marc Margalef-Rovira Emmanuel Pistono Manuel J. Barragan Antonio Augusto Lisboa de Souza Philippe Ferrari Sylvain Bourdel

Theory and Implementation of a Load-Mismatch Protective Class-E PA System.

Jeroen Ponte Ali Ghahremani Maikel Huiskamp Anne-Johan Annema Bram Nauta

A 12-bit SAR ADC With a DAC-Configurable Window Switching Scheme.

Yung-Hui Chung Qi-Feng Zeng Yi-Shen Lin

Guest Editorial Selected Papers From the IEEE Asia Pacific Conference of Circuits and Systems 2018 and the IEEE International Conference on Electronics, Circuits and Systems 2018.

Elena Blokhina


Volume 67-I, Number 1, January 2020
A High Efficiency AC/DC NVC-PSSHI Electrical Interface for Vibration-Based Energy Harvesters.

Ahmed O. Badr Edmond Lou Ying Yin Tsui Walied A. Moussa

Battery Management Technique to Reduce Standby Energy Consumption in Ultra-Low Power IoT and Sensory Applications.

Mohsen Radfar Amir Nakhlestani Hoang Le Viet Aniruddha Desai

Energy-Efficient Hardware Architectures for Fast Polar Decoders.

Furkan Ercan Thibaud Tonnellier Warren J. Gross

Efficient and Secure Non-Coherent OFDM-Based Overlapped Chaotic Chip Position Shift Keying System: Design and Performance Analysis.

Lin Zhang Zuwei Chen Weiwei Rao Zhiqiang Wu

Theoretical Analysis of Noise Figure for Modulated Wideband Converter.

Zolboo Byambadorj Koji Asami Takahiro J. Yamaguchi Akio Higo Masahiro Fujita Tetsuya Iizuka

Statistical BER Analysis of Wireline Links With Non-Binary Linear Block Codes Subject to DFE Error Propagation.

Ming Yang Shayan Shahramian Hossein Shakiba Henry Wong Peter Krotnev Anthony Chan Carusone

An Integrated, Software-Defined FDD Transceiver: Distributed Duplexing Theory and Operation.

Thomas Tapen Hazal Yüksel Zachariah Boynton Alyssa B. Apsel Alyosha C. Molnar

Cluster Tracking Performance Analysis of Linear Heterogeneous Multi-Agent Networks: A Complex Frequency Domain Approach.

Wenle Zhang Yang Tang Ljupco Kocarev Zheng-Guang Wu

Periodic Event-Triggered Adaptive Control for Attitude Stabilization Under Input Saturation.

Bangxin Jiang Jianquan Lu Yang Liu Jinde Cao

Automated Framework for Time-Domain Piecewise-Linear Fitting Method Based on Digital Wave Processing of S-Parameters.

Piero Belforte Domenico Spina Luigi Lombardi Giulio Antonini Tom Dhaene

Rational Polynomial Chaos Expansions for the Stochastic Macromodeling of Network Responses.

Paolo Manfredi Stefano Grivet-Talocia

An Analytical Framework and Approximation Strategy for Efficient Implementation of Distributed Arithmetic-Based Inner-Product Architectures.

Dwaipayan Ray Nithin V. George Pramod Kumar Meher

A New Variable Forgetting Factor-Based Bias-Compensated RLS Algorithm for Identification of FIR Systems With Input Noise and Its Hardware Implementation.

Hai Jun Tan Shing-Chow Chan Jian-Qiang Lin Xu Sun

DPTC - An FPGA-Based Trace Compression.

Giovanni Bruni Håkan T. Johansson

A Universal Method of Linear Approximation With Controllable Error for the Efficient Implementation of Transcendental Functions.

Huaqing Sun Yuanyong Luo Yajun Ha Yinghuan Shi Yang Gao Qinghong Shen Hongbing Pan

A Low-Latency Multi-Touch Detector Based on Concurrent Processing of Redesigned Overlap Split and Connected Component Analysis.

Byeong Yong Kong Jooseung Lee In-Cheol Park

In-Memory Low-Cost Bit-Serial Addition Using Commodity DRAM Technology.

Mustafa Fayez Ali Akhilesh Jaiswal Kaushik Roy

VWA: Hardware Efficient Vectorwise Accelerator for Convolutional Neural Network.

Kuo-Wei Chang Tian-Sheuan Chang

A Strong Subthreshold Current Array PUF Resilient to Machine Learning Attacks.

Haoyu Zhuang Xiaodan Xi Nan Sun Michael Orshansky

A Binary Line Buffer Circuit Featuring Lossy Data Compression at Fixed Maximum Data Rate.

Ettore Napoli Davide De Caro Nicola Petra Antonio Giuseppe Maria Strollo

Bulkyflip: A NAND-SPIN-Based Last-Level Cache With Bandwidth-Oriented Write Management Policy.

Bi Wu Weisheng Zhao Xiaobo Sharon Hu Pengcheng Dai Zhaohao Wang Chao Wang Ying Wang Jianlei Yang Yuanqing Cheng Dijun Liu Youguang Zhang

Circuit Function Characterizing Tunability of Resonators.

Alexander Zakharov Michael Ilchenko

Two Reciprocal Power Theorems for Passive Linear Time-Invariant Multiports.

Frédéric Broydé Evelyne Clavelier

Differential Coded Multiple Signaling Method With Fully Differential Receiver for Mutual Capacitive Fingerprint TSP.

Sanghyun Heo Kyeongmin Park Eun-Ho Choi Franklin Bien

A 77-GHz Mixed-Mode FMCW Generator Based on a Vernier TDC With Dual Rising-Edge Fractional-Phase Detector.

Jianxi Wu Wei Deng Zipeng Chen Wei Zheng Yibo Liu Shufu Wang Nan Qi Baoyong Chi

A -68 dB THD, 0.6 mm2 Active Area Biosignal Acquisition System With a 40-320 Hz Duty-Cycle Controlled Filter.

Yu-Pin Hsu Zemin Liu Mona Mostafa Hella

Wideband 28-nm CMOS Variable-Gain Amplifier.

Vahid Asgari Leonid Belostotski

Inherently Accurate Attenuation-Based Digital Calibration of ADC.

Takashi Oshima Makoto Takahashi Yohei Nakamura Taizo Yamawaki

Distortion Analysis of RC Integrators With Wideband Input Signals.

Nikolaus Hammler Boris Murmann

Jitter Suppression Techniques for High-Speed Sample-and-Hold Circuits.

Shiva Jamali-Zavareh Ramesh Harjani