Volume 68, Number 12, December 2021
Accurately Modeling Zero-Bias Diode-Based RF Power Harvesters With Wide Adaptability to Frequency and Power.

Lei Guo Xuwang Li Peng Chu Ke Wu

Analysis and Mitigation of Coupling-Dependent Data Flipping in Wireless Power and Data Transfer System.

Hao Qiu Yuntao Jiang Yi Shi Takayasu Sakurai Makoto Takamiya

Analysis and Design of Quasi-Circulating Quadrature Hybrid for Full-Duplex Wireless.

Dror Regev Erez Zolkov Nimrod Ginzberg Rani Keren Shimi Shilo Doron Ezri Emanuel Cohen

Robust H∞ Control for ICPT Process With Coil Misalignment and Time Delay: A Sojourn-Probability-Based Switching Case.

Tang Li Engang Tian

Interval Observer-Based Robust Coordination Control of Multi-Agent Systems Over Directed Networks.

Xiaoling Wang Housheng Su Guo-Ping Jiang

Output Feedback Sliding Mode Control of Markovian Jump Systems and Its Application to Switched Boost Converter.

Chunlian Wang Rui Li Xiaojie Su Peng Shi

Convergence of the Resistive Coupling-Based Waveform Relaxation Method for Chains of Identical and Symmetric Circuits.

Tarik Menkad Anestis Dounavis

Leveraging Negative Capacitance CNTFETs for Image Processing: An Ultra-Efficient Ternary Image Edge Detection Hardware.

Fereshteh Behbahani Mohammad Khaleqi Qaleh Jooq Mohammad Hossein Moaiyeri Khalil Tamersit

DyGA: A Hardware-Efficient Accelerator With Traffic-Aware Dynamic Scheduling for Graph Convolutional Networks.

Ruiqi Xie Jun Yin Jun Han

A 5.28-mm² 4.5-pJ/SOP Energy-Efficient Spiking Neural Network Hardware With Reconfigurable High Processing Speed Neuron Core and Congestion-Aware Router.

Junran Pu Wang Ling Goh Vishnu P. Nambiar Ming Ming Wong Anh Tuan Do

An Efficient Digital Realization of Retinal Light Adaptation in Cone Photoreceptors.

Milad Ghanbarpour Ali Naderi Saeed Haghiri Arash Ahmadi

Annealing Processing Architecture of 28-nm CMOS Chip for Ising Model With 512 Fully Connected Spins.

Ryoma Iimura Satoshi Kitamura Takayuki Kawahara

Efficient Soft-Output Gauss-Seidel Data Detector for Massive MIMO Systems.

Chuan Zhang Zhizhen Wu Christoph Studer Zaichen Zhang Xiaohu You

Dynamic Write VMIN and Yield Estimation for Nanoscale SRAMs.

Shourya Gupta Benton H. Calhoun

A 2.1 mW 2 MHz-BW 73.8 dB-SNDR Buffer-Embedded Noise-Shaping SAR ADC.

Taewoong Kim Youngcheol Chae

A Complex Band-Pass Filter for Low-Power and High-Performance Transceivers.

Marco Cavallaro Germano Nicollini

Two- and Three-Way Filtering Power Dividers With Harmonic Suppression Using Triangle Patch Resonator.

Yan-Yuan Zhu Jianpeng Wang Jiasheng Hong Jian-Xin Chen Wen Wu

Generalized Relationship Between Frequency Response and Settling Time of CMOS OTAs: Toward Many-Stage Design.

Mahmood A. Mohammed Gordon W. Roberts

NbO2-Mott Memristor: A Circuit- Theoretic Investigation.

Ioannis Messaris Timothy D. Brown Ahmet Samil Demirkol Alon Ascoli Mohamad Moner Al Chawa R. Stanley Williams Ronald Tetzlaff Leon O. Chua

Improved Hopfield Network Optimization Using Manufacturable Three-Terminal Electronic Synapses.

Su-In Yi Suhas Kumar R. Stanley Williams

Finite-/Fixed-Time Synchronization of Memristor Chaotic Systems and Image Encryption Application.

Leimin Wang Shan Jiang Ming-Feng Ge Cheng Hu Junhao Hu

Generating Any Number of Diversified Hidden Attractors via Memristor Coupling.

Sen Zhang Chunbiao Li Jiahao Zheng Xiaoping Wang Zhigang Zeng Guanrong Chen

A Double-Memristor Hyperchaotic Oscillator With Complete Amplitude Control.

Yicheng Jiang Chunbiao Li Chuang Zhang Yibo Zhao Hongyan Zang

A Dynamic Event-Triggered Approach to State Estimation for Switched Memristive Neural Networks With Nonhomogeneous Sojourn Probabilities.

Jun Cheng Lidan Liang Ju H. Park Huaicheng Yan Kezan Li

Analog Solutions of Discrete Markov Chains via Memristor Crossbars.

Gianluca Zoppo Anil Korkmaz Francesco Marrone Samuel Palermo Fernando Corinto R. Stanley Williams

Optimization Schemes for In-Memory Linear Regression Circuit With Memristor Arrays.

Shiqing Wang Zhong Sun Yuheng Liu Shengyu Bao Yimao Cai Daniele Ielmini Ru Huang

A Universal, Analog, In-Memory Computing Primitive for Linear Algebra Using Memristors.

Piergiulio Mannocci Giacomo Pedretti Elisabetta Giannone Enrico Melacarne Zhong Sun Daniele Ielmini

Design Flow for Hybrid CMOS/Memristor Systems - Part II: Circuit Schematics and Layout.

Sachin Maheshwari Spyros Stathopoulos Jiaqi Wang Alexander Serb Yihan Pan Andrea Mifsud Lieuwe B. Leene Jiawei Shen Christos Papavassiliou Timothy G. Constandinou Themistoklis Prodromakis

Design Flow for Hybrid CMOS/Memristor Systems - Part I: Modeling and Verification Steps.

Sachin Maheshwari Spyros Stathopoulos Jiaqi Wang Alexander Serb Yihan Pan Andrea Mifsud Lieuwe B. Leene Jiawei Shen Christos Papavassiliou Timothy G. Constandinou Themistoklis Prodromakis

QuantBayes: Weight Optimization for Memristive Neural Networks via Quantization-Aware Bayesian Inference.

Yue Zhou Xiaofang Hu Lidan Wang Guangdong Zhou Shukai Duan

How to Build a Memristive Integrate-and-Fire Model for Spiking Neuronal Signal Generation.

Sung-Mo Steve Kang Donguk Choi Jason Kamran Eshraghian Peng Zhou Jieun Kim Bai-Sun Kong Xiaojian Zhu Ahmet Samil Demirkol Alon Ascoli Ronald Tetzlaff Wei D. Lu Leon O. Chua

Editorial Special Issue for 50th Birthday of Memristor Theory and Application of Neuromorphic Computing Based on Memristor - Part II.

Tingwen Huang Yiran Chen Zhigang Zeng Leon O. Chua

The Challenges and Emerging Technologies for Low-Power Artificial Intelligence IoT Systems.

Le Ye Zhixuan Wang Ying Liu Peiyu Chen Heyi Li Hao Zhang Meng Wu Wei He Linxiao Shen Yihan Zhang Zhichao Tan Yangyuan Wang Ru Huang


Volume 68, Number 11, November 2021
A Three-Stage Charge Pump With Forward Body Biasing in 28 nm UTBB FD-SOI CMOS.

Carlos A. Pinheiro Fabián Olivera Antonio Petraglia

Design and Evaluation of Radiation-Hardened Standard Cell Flip-Flops.

Oliver Schrape Marko S. Andjelkovic Anselm Breitenreiter Steffen Zeidler Alexey Balashov Milos Krstic

A High-Level Modeling Framework for Estimating Hardware Metrics of CNN Accelerators.

Leonardo Rezende Juracy Matheus Trevisan Moreira Alexandre de Morais Amory Alexandre F. Hampel Fernando Gehm Moraes

Applying Lightweight Soft Error Mitigation Techniques to Embedded Mixed Precision Deep Neural Networks.

Geancarlo Abich Jonas Gava Rafael Garibotti Ricardo Reis Luciano Ost

A Gait Energy Image-Based System for Brazilian Sign Language Recognition.

L. Wesley Passos Gabriel M. Araujo Jonathan N. Gois Amaro A. de Lima

Guest Editorial: Special Issue Based on the 12th Edition of the Latin American Symposium on Circuits and Systems.

Francois Rivet Fernando Silveira

Event-Driven Approach With Time-Scale Hierarchical Automaton for Switching Transient Simulation of SiC-Based High-Frequency Converter.

Bochen Shi Yonglin Chen Kainan Chen Jiahe Ju Zhujun Yu Zhengming Zhao

Exponential Synchronization of Complex Networks: An Intermittent Adaptive Event-Triggered Control Strategy.

Yongbao Wu Yue Wang Jian Liu Yong Xu

High-Dimensional Extension of the TICER Algorithm.

Limin Hao Guoyong Shi

Automated Design Approximation to Overcome Circuit Aging.

Konstantinos Balaskas Georgios Zervakis Hussam Amrouch Jörg Henkel Kostas Siozios

A Metal-Via Resistance Based Physically Unclonable Function With Backend Incremental ADC.

Beomsoo Park Domenic Forte Mark M. Tehranipoor Nima Maghari

LIMITA: Logic-in-Memory Primitives for Imprecise Tolerant Applications.

Ali Zarei Farshad Safaei

IECA: An In-Execution Configuration CNN Accelerator With 30.55 GOPS/mm² Area Efficiency.

Boming Huang Yuxiang Huan Haoming Chu Jiawei Xu Lizheng Liu Lirong Zheng Zhuo Zou

Multi-Objective Digital Design Optimization via Improved Drive Granularity Standard Cells.

Linan Cao Simon J. Bale Martin A. Trefzer

Instruction-Set Accelerated Implementation of CRYSTALS-Kyber.

Mojtaba Bisheh-Niasar Reza Azarderakhsh Mehran Mozaffari Kermani

Adaptive Dual-Input Analog RF Predistorter for Wideband 5G Communication Systems.

Ashish Kumar Meenakshi Rawat

A 1.6-V Tolerant Multiplexer Switch With 0.96-V Core Devices in 28-nm CMOS Technology.

Giuseppe E. Biccario Oleg Vitrenko Roberto Nonis Stefano D'Amico

A 90-GHz Asymmetrical Single-Pole Double-Throw Switch With >19.5-dBm 1-dB Compression Point in Transmission Mode Using 55-nm Bulk CMOS Technology.

Lisheng Chen Lang Chen Zeyu Ge Yichuang Sun Tara Julia Hamilton Xi Zhu

A Highly-Efficient RF Energy Harvester Using Passively-Produced Adaptive Threshold Voltage Compensation.

Mohammad Amin Karami Kambiz Moez

An Interstage-Reflectionless V-Band Radiometer With Capacitor-Reused Absorptive Matching in 0.13-μm SiGe BiCMOS.

Xiaojun Bi Zilan Cao Zhaoming Feng Chaodi Sheng Qinfen Xu

Portable CMOS NMR System With 50-kHz IF, 10-μs Dead Time, and Frequency Tracking.

Sungjin Hong Nan Sun

A 10.4-16-Gb/s Reference-Less Baud-Rate Digital CDR With One-Tap DFE Using a Wide-Range FD.

Wei-Ming Chen Yun-Sheng Yao Shen-Iuan Liu

A Self-Matched Multi-Band Rectifier for Efficient Electromagnetic Energy Harvesting.

Shui Hong Wang Shao Yong Zheng Kwok Wa Leung Minghua Xia

Sensing and Cancellation Circuits for Mitigating EMI-Related Common Mode Noise in High-Speed PAM-4 Transmitter.

Rehan Azmat Li Wang Khawaja Qasim Maqbool Can Wang C. Patrick Yue

Discrete Memristor Hyperchaotic Maps.

Han Bao Zhongyun Hua Houzhen Li Mo Chen Bocheng Bao

Event-Based Extended Dissipative State Estimation for Memristor-Based Markovian Neural Networks With Hybrid Time-Varying Delays.

Ting Wang Baoyong Zhang Deming Yuan Yijun Zhang

Positivity and Stability of Cohen-Grossberg-Type Memristor Neural Networks With Unbounded Delays.

Ailong Wu Yue Chen Song Zhu Shiping Wen

Solving Non-Homogeneous Linear Ordinary Differential Equations Using Memristor-Capacitor Circuit.

Haotian Fu Qinghui Hong Chunhua Wang Jingru Sun Ya Li

DetectX - Adversarial Input Detection Using Current Signatures in Memristive XBar Arrays.

Abhishek Moitra Priyadarshini Panda

Analog Neural Computing With Super-Resolution Memristor Crossbars.

Alex Pappachen James Leon O. Chua

A 3-D Crossbar Architecture for Both Pipeline and Parallel Computations.

Muayad J. Aljafar John M. Acken

Fault Modeling and Efficient Testing of Memristor-Based Memory.

Peng Liu Zhiqiang You Jigang Wu Bosheng Liu Yinhe Han Krishnendu Chakrabarty

Optimized Synthesis Method for Ultra-Low Power Multi-Input Material Implication Logic With Emerging Non-Volatile Memories.

Francesco Maria Puglisi Tommaso Zanotti Paolo Pavan

Neuromorphic Dynamics of Chua Corsage Memristor.

Peipei Jin Guangyi Wang Yan Liang Herbert Ho-Ching Iu Leon O. Chua

Editorial Special Issue for 50th Birthday of Memristor Theory and Application of Neuromorphic Computing Based on Memristor - Part I.

Tingwen Huang Yiran Chen Zhigang Zeng Leon O. Chua


Volume 68, Number 10, October 2021
Corrections to "Millimeter-Wave Integrated Phased Arrays" [early access, Jul 12, 21 doi: 10.1109/TCSI.2021.3093093].

Dixian Zhao Peng Gu Jiecheng Zhong Na Peng Mengru Yang Yongran Yi Jiajun Zhang Pingyang He Yuan Chai Zhihui Chen Xiaohu You

Adaptive Continuous Barrier Function Terminal Sliding Mode Control Technique for Disturbed Robotic Manipulator.

Saleh Mobayen Khalid A. Alattas Wudhichai Assawinchaichote

Bipartite Average Tracking for Multi-Agent Systems With Disturbances: Finite-Time and Fixed-Time Convergence.

Tao Han Zhi-Hong Guan Bo Xiao Huaicheng Yan

Probabilistic-Constrained H∞ Tracking Control for a Class of Stochastic Nonlinear Systems Subject to DoS Attacks and Measurement Outliers.

Bin Wei Engang Tian Tao Zhang Xia Zhao

Global Event-Triggered Output Feedback Stabilization for a Class of Nonlinear Time-Delay Systems.

Feng Shu Junyong Zhai

Composite Velocity-Tracking Control for Flexible Gimbal System With Multi-Frequency-Band Disturbances.

Yangyang Cui Yongjian Yang Yukai Zhu Jianzhong Qiao Lei Guo

Cyber-Physical Systems With Multiple Denial-of-Service Attackers: A Game-Theoretic Framework.

Yabing Huang Jun Zhao

Adaptive Fuzzy Fast Finite-Time Dynamic Surface Tracking Control for Nonlinear Systems.

Huanqing Wang Ke Xu Peter Xiaoping Liu Junfei Qiao

Online Identification of Piecewise Affine Systems Using Integral Concurrent Learning.

Yingwei Du Fangzhou Liu Jianbin Qiu Martin Buss

Reliability Enhancement of Inverter-Based Memristor Crossbar Neural Networks Using Mathematical Analysis of Circuit Non-Idealities.

Shaghayegh Vahdat Mehdi Kamal Ali Afzali-Kusha Massoud Pedram

PROTON: Post-Synthesis Ferroelectric Thickness Optimization for NCFET Circuits.

Sami Salamin Georgios Zervakis Yogesh Singh Chauhan Jörg Henkel Hussam Amrouch

A Smoothed LASSO-Based DNN Sparsification Technique.

Basava Naga Girish Koneru Nitin Chandrachoodan Vinita Vasudevan

Finite/Fixed-Time Synchronization of Multi-Layer Networks Based on Energy Consumption Estimation.

Yuhua Xu Xiaoqun Wu Xiaoxiao Wan Chengrong Xie

Exploring Impact Factors of Risk Contagion in Venture Capital Markets: A Complex Network Approach.

Xuerong Li Jiaqi Liu Jichang Dong Linyuan Lü Jinhu Lü

An Algorithm for Implementing a Modulator Whose Output is Spur-Free After Nonlinear Distortion.

Yann Donnelly Michael Peter Kennedy

BCA: A 530-mW Multicore Blockchain Accelerator for Power-Constrained Devices in Securing Decentralized Networks.

Thi Hong Tran Hoai Luan Pham Tri Dung Phan Yasuhiko Nakashima

A Shallow Neural Network for Real-Time Embedded Machine Learning for Tensorial Tactile Data Processing.

Hamoud Younes Ali Ibrahim Mostafa Rizk Maurizio Valle

Quantum Sealed-Bid Auction Without a Trusted Third Party.

Run-Hua Shi

Dadu-Eye: A 5.3 TOPS/W, 30 fps/1080p High Accuracy Stereo Vision Accelerator.

Feng Min Haobo Xu Ying Wang Yujie Wang Jiajun Li Xingqi Zou Bei Li Yinhe Han

Scalable Fully Pipelined Hardware Architecture for In-Network Aggregated AllReduce Communication.

Yao Liu Junyi Zhang Shuo Liu Qiaoling Wang Wangchen Dai Ray Chak-Chung Cheung

Real-Time Block-Based Embedded CNN for Gesture Classification on an FPGA.

Ching-Chen Wang Yu-Chun Ding Ching-Te Chiu Chao-Tsung Huang Yen-Yu Cheng Shih-Yi Sun Chih-Han Cheng Hsueh-Kai Kuo

Design of High-Reliability Memory Cell to Mitigate Single Event Multiple Node Upsets.

Hongchen Li Liyi Xiao Chunhua Qi Jie Li

Reinforcement Learning-Based Power Management Policy for Mobile Device Systems.

Eunji Kwon Sodam Han Yoonho Park Jongho Yoon Seokhyeong Kang

Real-Time Downsampling in Digital Storage Oscilloscopes With Multichannel Architectures.

Ettore Napoli Efstratios Zacharelos Mauro D'Arco Antonio Giuseppe Maria Strollo

Fast Strategies for the Implementation of SIKE Round 3 on ARM Cortex-M4.

Mila Anastasova Reza Azarderakhsh Mehran Mozaffari Kermani

A 5 μW Standard Cell Memory-Based Configurable Hyperdimensional Computing Accelerator for Always-on Smart Sensing.

Manuel Eggimann Abbas Rahimi Luca Benini

Stochastic Dividers for Low Latency Neural Networks.

Shanshan Liu Xiaochen Tang Farzad Niknia Pedro Reviriego Weiqiang Liu Ahmed Louri Fabrizio Lombardi

The Impact of Device Uniformity on Functionality of Analog Passively-Integrated Memristive Circuits.

Z. Fahimi Mohammad Reza Mahmoodi Michael Klachko Hussein Nili Dmitri B. Strukov

Post-Manufacturing Process and Temperature Calibration of a 2-MHz On-Chip Relaxation Oscillator.

Josip Mikulic Gregor Schatzberger Adrijan Baric

Buck Circuit Design With Pseudo-Constant Frequency and Constant On-Time for High Current Point-of-Load Regulation.

Keng Chen James Garrett Kang Peng Ronald Hulfachor Marvin Onabajo

Nonlinear Analysis of Charge-Pump Phase-Locked Loop: The Hold-In and Pull-In Ranges.

Nikolay V. Kuznetsov Alexey S. Matveev Marat V. Yuldashev Renat V. Yuldashev

Folded Noise Prediction in Nonlinear Fractional-N Frequency Synthesizers.

Valerio Mazzaro Michael Peter Kennedy

Extracting RLC Parasitics From a Flexible Electronic Hybrid Assembly Using On-Chip ESD Protection Circuits.

Rafid Adnan Khan Mohammad Muhtady Muhaisin Gordon W. Roberts

Active Charge Balancer With Adaptive 3.3 V to 38 V Supply Compliance for Neural Stimulators.

Natalie Butz Utpal Kalita Yiannos Manoli

Noise-Shaping SAR ADC Using a Two-Capacitor Digitally Calibrated DAC With 82.6-dB SNDR and 90.9-dB SFDR.

Lukang Shi Eashwar Thiagarajan Rajiv Singh Erhan Hancioglu Un-Ku Moon Gabor C. Temes

Ripple Suppression in Capacitive-Gain Chopper Instrumentation Amplifier Using Amplifier Slicing.

Tsz Ngai Lin Bo Wang Amine Bermak

Millimeter-Wave Integrated Phased Arrays.

Dixian Zhao Peng Gu Jiecheng Zhong Na Peng Mengru Yang Yongran Yi Jiajun Zhang Pingyang He Yuan Chai Zhi Chen Xiaohu You


Volume 68, Number 9, September 2021
Adaptive Fast Fault Location for Open-Switch Faults of Voltage Source Inverter.

Haoran Yin Yong Chen Zhangyong Chen Meng Li

A Real-Time Hardware Emulator for 3D Non-Stationary U2V Channels.

Qiuming Zhu Zikun Zhao Kai Mao Xiaomin Chen Weiqiang Liu Qihui Wu

Self-Synchronized DS/SS With High Spread Factors for Robust Millimeter-Wave Datalinks.

Adrian Tang Rulin Huang Gabriel Virbila Mau-Chung Frank Chang

A Compact 26.5-29.5-GHz LNA-Phase-Shifter Combo With 360° Continuous Phase Tuning Based on All-Pass Networks for Millimeter-Wave 5G.

Eduardo V. P. Anjos Dominique Schreurs Guy A. E. Vandenbosch Marcel Geurts

Double-Conversion, Noise-Cancelling Receivers Using Modulated LNTAs and Double-Layer Passive Mixers for Concurrent Signal Reception With Tuned RF Interface.

Guoxiang Han Peter R. Kinget

Observer-Based Adaptive Neural Output Feedback Constraint Controller Design for Switched Systems Under Average Dwell Time.

Lei Liu Yujie Cui Yan-Jun Liu Shaocheng Tong

Fractional-Order Sliding Mode Approach of Buck Converters With Mismatched Disturbances.

Xinpo Lin Jianxing Liu Fagang Liu Zhuang Liu Yabin Gao Guanghui Sun

Containment Control for Networked Fractional-Order Systems With Sampled Position Data.

Yanyan Ye Haoyuan Wei Renquan Lu Housheng Su Yuanqing Wu

Joint State and Fault Estimation for Networked Interconnected PDE Systems With Semi-Markov Fault Coefficient via Conjunct Measurement.

Xiaona Song Jingtao Man Choon Ki Ahn

Intrusion-Detector-Dependent Distributed Economic Model Predictive Control for Load Frequency Regulation With PEVs Under Cyber Attacks.

Zhijian Hu Shichao Liu Wensheng Luo Ligang Wu

State Bumpless Transfer Control for a Class of Switched Descriptor Systems.

Jiasheng Shi Jun Zhao

Uncertain Disturbance Rejection and Attenuation for Semi-Markov Jump Systems With Application to 2-Degree-Freedom Robot Arm.

Xiuming Yao Lingling Zhang Wei Xing Zheng

Distributed Adaptive Resilient Formation Control of Uncertain Nonholonomic Mobile Robots Under Deception Attacks.

Wei Wang Zhen Han Kexin Liu Jinhu Lü

Event-Triggered Optimized Control for Nonlinear Delayed Stochastic Systems.

Guoping Zhang Quanxin Zhu

Constructing Higher-Dimensional Digital Chaotic Systems via Loop-State Contraction Algorithm.

Qianxue Wang Simin Yu Christophe Guyeux Wei Wang

Continuation-Based Method to Find Periodic Windows in Bifurcation Diagrams With Applications to the Chua's Circuit With a Cubic Nonlinearity.

Zbigniew Galias

Opinion Diffusion in Two-Layer Interconnected Networks.

Congying Liu Xiaoqun Wu Ruiwu Niu M. A. Aziz-Alaoui Jinhu Lü

Stability Assessment for Multi-Infeed Grid-Connected VSCs Modeled in the Admittance Matrix Form.

Luis Orellana Luis Sainz Eduardo Prieto-Araujo Oriol Gomis-Bellmunt

Low Delay Short Word Length Sigma Delta Active Noise Control.

Paulo Alexandre Crisóstomo Lopes José António Beltran Gerald

Hardware Topologies for Decentralized Large-Scale MIMO Detection Using Newton Method.

Abhinav Kulkarni Messaoud Ahmed-Ouameur Daniel Massicotte

High-Speed FPGA Implementation of SIKE Based on an Ultra-Low-Latency Modular Multiplier.

Jing Tian Bo Wu Zhongfeng Wang

An Energy Efficient Accelerator for Bidirectional Recurrent Neural Networks (BiRNNs) Using Hybrid-Iterative Compression With Error Sensitivity.

Guocai Nan Zhengkuan Wang Chenghua Wang Bi Wu Zhican Wang Weiqiang Liu Fabrizio Lombardi

Design of Digital OTAs With Operation Down to 0.3 V and nW Power for Direct Harvesting.

Pedro Toledo Paolo Crovetti Orazio Aiello Massimo Alioto

Interconnection, Reciprocity and a Hierarchical Classification of Generalized Multiports.

András Recski Áron Vékássy

Analysis and Design of a Charge Sampler With 70-GHz 1-dB Bandwidth in 130-nm SiGe BiCMOS.

Liang Wu Johann-Christoph Scheytt

Synthesis of an Equivalent Circuit for Spike-Timing-Dependent Axon Growth: What Fires Together Now Really Wires Together.

Karlheinz Ochs Dennis Michaelis Sebastian Jenderny

A Transimpedance-to-Noise Optimized Analog Front-End With High PSRR for Pulsed ToF Lidar Receivers.

Farzad Khoeini Bahareh Hadidian Keshu Zhang Ehsan Afshari

A Compact Memristor Model for Neuromorphic ReRAM Devices in Flux-Charge Space.

Mohamad Moner Al Chawa Rodrigo Picos Ronald Tetzlaff

Broadband Mismatch Calibration for Time-Interleaved ADC Based on Linear Frequency Modulated Signal.

Xiangyu Peng Yue Zhang Wei Wang Siqi Yang

A Bias-Current-Free Fractional-N Hybrid PLL for Low-Voltage Clock Generation.

Xinyu Xu Zixiang Wan Woogeun Rhee Zhihua Wang

Design of a Quadband Doherty Power Amplifier With Large Power Back-Off Range.

Zhiwei Zhang Vincent F. Fusco Zhiqun Cheng Weirong Wang Chao Gu Neil Buchanan

A CMOS Energy Harvesting Interface Circuit With Cycle-to-Cycle Frequency-to-Amplitude Conversion MPPT for Centimeter-Scale Wind Turbine.

Zizhen Zeng Johan J. Estrada-López Bo Wang Edgar Sánchez-Sinencio

Generalized Analog-to-Information Converter With Analysis Sparse Prior.

Hui Qian Xinxin Song Dengji Li Zhongfeng Wang

Gain-Boosted Super Class AB OTAs Based on Nested Local Feedback.

Javier Beloso-Legarra Carlos Aristoteles De la Cruz-Blas Antonio J. López-Martín Jaime Ramírez-Angulo

Sub-ppm/°C Bandgap References With Natural Basis Expansion for Curvature Cancellation.

Nanqi Liu Randall L. Geiger Degang Chen

Emerging Terahertz Integrated Systems in Silicon.

Xiang Yi Cheng Wang Zhi Hu Jack W. Holloway Muhammad Ibrahim Wasiq Khan Mohamed I. Ibrahim Mina Kim Georgios C. Dogiamis Bradford Perkins Mehmet Kaynak Rabia Tugce Yazicigil Anantha P. Chandrakasan Ruonan Han


Volume 68, Number 8, August 2021
Centralized System Identification of Multi-Rail Power Converter Systems Using an Iterative Decimation Approach.

Jin Xu Matthew Armstrong Maher Algreer

Baseband Fusion Technique for Filter-Less Wideband Transmitters.

Girish Chandra Tripathi Meenakshi Rawat

Efficient Row-Layered Decoder for Sparse Code Multiple Access.

Xu Pang Wenqing Song Yifei Shen Xiaohu You Chuan Zhang

Control of Power Converters With Hybrid Affine Models and Pulse-Width Modulated Inputs.

Carolina Albea Antonino Sferlazza Francisco Gordillo Fabio Gómez-Estern

Privacy-Preserving Consensus for Multi-Agent Systems via Node Decomposition Strategy.

Yaqi Wang Jianquan Lu Wei Xing Zheng Kaibo Shi

Almost Sure Synchronization of Multilayer Networks via Intermittent Pinning Noises: A White-Noise-Based Time-Varying Coupling.

Sen Li Yuhang Zheng Huan Su

A New Approach of Formation Control for Multi-Agent Systems With Environmental Changes.

Yutong Liu Peng Shi Cheng-Chew Lim Hongjun Yu

Predefined Finite-Time Output Containment of Nonlinear Multi-Agent Systems With Leaders of Unknown Inputs.

Qing Wang Xiwang Dong Jianglong Yu Jinhu Lü Zhang Ren

Distributed Fault Detection and Control for Markov Jump Systems Over Sensor Networks With Round-Robin Protocol.

Cheng Gong Guopu Zhu Peng Shi Ramesh K. Agarwal

Loading-Aware Reliability Improvement of Ultra-Low Power Memristive Neural Networks.

Shaghayegh Vahdat Mehdi Kamal Ali Afzali-Kusha Massoud Pedram

Neural Bursting and Synchronization Emulated by Neural Networks and Circuits.

Hairong Lin Chunhua Wang Chengjie Chen Yichuang Sun Chao Zhou Cong Xu Qinghui Hong

Failure in Ring Oscillators With Capacitive Load.

Luca Ravezzi

TD-SRAM: Time-Domain-Based In-Memory Computing Macro for Binary Neural Networks.

Jiahao Song Yuan Wang Minguang Guo Xiang Ji Kaili Cheng Yixuan Hu Xiyuan Tang Runsheng Wang Ru Huang

A Fast and Fully Parallel Analog CMOS Solver for Nonlinear PDEs.

Hasantha Malavipathirana S. I. Hariharan Nilan Udayanga Soumyajit Mandal Arjuna Madanayake

Towards Low Latency and Resource-Efficient FPGA Implementations of the MUSIC Algorithm for Direction of Arrival Estimation.

Uzma M. Butt Shoab A. Khan Anees Ullah Abdul Khaliq Pedro Reviriego Ali Zahir

Zero Aware Configurable Data Encoding by Skipping Transfer for Error Resilient Applications.

Chandan Kumar Jha Shreyas Singh Riddhi Thakker Manu Awasthi Joycee Mekie

Accuracy-Configurable Radix-4 Adder With a Dynamic Output Modification Scheme.

Kun-Lin Tsai Yen-Jen Chang Chien-Ho Wang Cheng-Tse Chiang

Soft-Error-Immune Read-Stability-Improved SRAM for Multi-Node Upset Tolerance in Space Applications.

Soumitra Pal Sayonee Mohapatra Wing-Hung Ki Aminul Islam

A Charge-Domain Scalable-Weight In-Memory Computing Macro With Dual-SRAM Architecture for Precision-Scalable DNN Accelerators.

Eunyoung Lee Taeyoung Han Donguk Seo Gicheol Shin Jaerok Kim Seonho Kim Soyoun Jeong Johnny Rhe Jaehyun Park Jong Hwan Ko Yoonmyung Lee

Low-Complexity High-Precision Method and Architecture for Computing the Logarithm of Complex Numbers.

Hui Chen Zongguang Yu Yonggang Zhang Zhonghai Lu Yuxiang Fu Li Li

Dynamic Dataflow Scheduling and Computation Mapping Techniques for Efficient Depthwise Separable Convolution Acceleration.

Baoting Li Hang Wang Xuchong Zhang Jie Ren Longjun Liu Hongbin Sun Nanning Zheng

Body Biased Sense Amplifier With Auto-Offset Mitigation for Low-Voltage SRAMs.

Dhruv Patel Adam Neale Derek Wright Manoj Sachdev

Modeling and Analysis of High-Performance Triple Hole Block Layer Organic LED Based Light Sensor for Detection of Ovarian Cancer.

Shubham Negi Poornima Mittal Brijesh Kumar

A Capacitively Coupled CT Δ ΣM With Chopping Artifacts Rejection for Sensor Readout ICs.

Chaegang Lim Yohan Choi Yunsoo Park Jaegeun Song Soonsung Ahn Sooho Park Chulwoo Kim

Low-Voltage Low-Noise High-CMRR Biopotential Integrated Preamplifier.

Carolina Cabrera Renzo Caballero María Cecilia Costa-Rauschert Conrado Rossi-Aicardi Julián Oreggioni

Continuous-Time Incremental Delta-Sigma Modulators With FIR Feedback.

Shanthi Pavan Tanmay Halder Anand Kannan

Balanced and Unbalanced Duplexers Using Common Oval Dielectric Resonators.

Di-Si Wu Yuan Chun Li Quan Xue Wei Qin Bin-Jie Hu

Digital Non-Linearity Calibration for ADCs With Redundancy Using a New LUT Approach.

Antonio J. Ginés Gildas Léger Eduardo J. Peralías

CARLA: A Convolution Accelerator With a Reconfigurable and Low-Energy Architecture.

Mehdi Ahmadi Shervin Vakili J. M. Pierre Langlois

mm-Wave Through-Load Element for On-Wafer Measurement Applications.

Marc Margalef-Rovira Olivier Occello Abdelhalim A. Saadi Vanessa Avramovic Sylvie Lépilliet Loic Vincent Manuel J. Barragan Emmanuel Pistono Sylvain Bourdel Christophe Gaquière Philippe Ferrari

77.3-GHz Standing-Wave Oscillator Based on an Asymmetrical Tunable Slow-Wave Coplanar Stripline Resonator.

Leonardo Gomes Ekta Sharma Antonio Augusto Lisboa de Souza Ariana L. C. Serrano Gustavo P. Rheder Emmanuel Pistono Philippe Ferrari Sylvain Bourdel

Towards Safe and Robust Closed-Loop Artificial Pancreas Using Improved PID-Based Control Strategies.

Abdel-Latif Alshalalfah Ghaith Bany Hamad Otmane Aït Mohamed

Power Bound Analysis of a Two-Step MASH Incremental ADC Based on Noise-Shaping SAR ADCs.

Masoume Akbari Mohammad Honarparvar Yvon Savaria Mohamad Sawan

Guest Editorial Special Issue on the IEEE International NEWCAS Conference 2020.

Jean-Pierre David Manuel J. Barragan

Analysis and Comparison of Readout Architectures and Analog-to-Digital Converters for 3D-Stacked CMOS Image Sensors.

Nicolas Callens Georges G. E. Gielen


Volume 68, Number 7, July 2021
Analysis and Design of EIT-Like Magnetic Coupling Wireless Power Transfer Systems.

Zhi-Juan Liao Qi-Kai Feng Chen-Hui Jiang Fan Wu Chenyang Xia Dongsheng Yu

A New Adaptive Sparse Pseudospectral Approximation Method and its Application for Stochastic Power Flow.

Jikeng Lin Kaiming Yuan Lingfeng Wang

Modeling and Simulation of Variable Limits on Conditional Anti-Windup PI Controllers for VSC-Based Devices.

Mohammed Ahsan Adib Murad Muyang Liu Federico Milano

Output Feedback Predefined-Time Bipartite Consensus Control for High-Order Nonlinear Multiagent Systems.

Kuo Li Changchun Hua Xiu You Choon Ki Ahn

Event-Triggered Adaptive Fuzzy Fixed-Time Tracking Control for a Class of Nonstrict-Feedback Nonlinear Systems.

Huanqing Wang Ke Xu Jianbin Qiu

General Efficient TMR for Combinational Circuit Hardening Against Soft Errors and Improved Multi-Objective Optimization Framework.

Chiyu Tan Yan Li Xu Cheng Jun Han Xiaoyang Zeng

Plesiochronous Spread Spectrum Clocking With Guaranteed QoS for In-Band Switching Noise Reduction.

Xin Fan Milan Babic Shutao Zhang Eckhard Grass Milos Krstic

Demonstrating Filtered Feedback Control Near a Boundary Crisis.

Riccardo Meucci Stefano Euzzor Marco Ciofini Antonio Lapucci Samuel Zambrano

Chaos Generation With Impulse Control: Application to Non-Chaotic Systems and Circuit Design.

Kun Tian Celso Grebogi Haipeng Ren

Multi-Stream Spatial Digital Predistortion for Fully-Connected Hybrid Beamforming Massive MIMO Transmitters.

Xin Liu Wenhua Chen Jiaming Chu Fadhel M. Ghannouchi Zhenghe Feng

Coding Efficiency Enhancement Using Time Interleaved Level Splitting and Optimized Multi-Level Delta Sigma Modulation in Digital Transmitter.

Nishant Kumar Karun Rawat

Efficient Hardware Architecture of Convolutional Neural Network for ECG Classification in Wearable Healthcare Device.

Jiahao Lu Dongsheng Liu Zilong Liu Xuan Cheng Lai Wei Cong Zhang Xuecheng Zou Bo Liu

Radiation Hardened 12T SRAM With Crossbar-Based Peripheral Circuit in 28nm CMOS Technology.

Yuanyuan Han Tongde Li Xu Cheng Liang Wang Jun Han Yuanfu Zhao Xiaoyang Zeng

Design and Analysis of Approximate Compressors for Balanced Error Accumulation in MAC Operator.

Gunho Park Jaeha Kung Youngjoo Lee

An Efficient and Flexible Accelerator Design for Sparse Convolutional Neural Networks.

Xiaoru Xie Jun Lin Zhongfeng Wang Jinghe Wei

A Low-Area and Low-Power Comma Detection and Word Alignment Circuits for JESD204B/C Controller.

Peng Yin Zhou Shu Yingjun Xia Tianmei Shen Xiao Guan Xiaoqin Wang Umar Mohammad Jiandong Zang Dongbing Fu Xiaoping Zeng Fang Tang Amine Bermak

Low-Latency Hardware Accelerator for Improved Engle-Granger Cointegration in Pairs Trading.

Shuang Liang Siyuan Lu Jun Lin Zhongfeng Wang

Investigation of ReRAM Variability on Flow-Based Edge Detection Computing Using HfO2-Based ReRAM Arrays.

Sarah Rafiq Jubin Hazra Maximilian Liehr Karsten Beckmann Minhaz Abedin Jodh S. Pannu Sumit Kumar Jha Nathaniel C. Cady

A Multi-Step Incremental Analog-to-Digital Converter With a Single Opamp and Two- Capacitor SAR Extended Counting.

Shih-Che Kuo Jia-Sheng Huang Yu-Cheng Huang Chia-Wei Kao Che-Wei Hsu Chia-Hung Chen

A Time-Based Pipelined ADC Using Integrate-and-Fire Multiplying-DAC.

Sigang Ryu Chan Young Park Wooryeol Kim Seuk Son Jaeha Kim

Implementation of an On-Chip Learning Neural Network IC Using Highly Linear Charge Trap Device.

Jong-Moon Choi Do-Wan Kwon Je-Joong Woo Eun-Je Park Kee-Won Kwon

Spatial-Temporal Hybrid Neural Network With Computing-in-Memory Architecture.

Kangjun Bai Lingjia Liu Yang Yi

Soft Fault Diagnosis of Analog Circuits Based on a ResNet With Circuit Spectrum Map.

Lipeng Ji Chenqi Fu Weiqing Sun

The Analog Behavior of Pseudo Digital Ring Oscillators Used in VCO ADCs.

Jonas Borgmans Robbe Riem Pieter Rombouts

Asynchronous Event-Driven Clocking and Control in Pipelined ADCs.

Benjamin P. Hershberg Barend van Liempd Nereo Markulic Jorge Lagos Ewout Martens Davide Dermit Jan Craninckx

A Generalization of the Groszkowski's Result in Differential Oscillator Topologies.

Francesco Buccoleri Andrea Bonfanti Andrea L. Lacaita

Highly Sensitive Phase-Variation Dielectric Constant Sensor Based on a Capacitively-Loaded Slow-Wave Transmission Line.

Amir Ebrahimi Jan Coromina Jonathan Muñoz-Enano Paris Vélez James R. Scott Kamran Ghorbani Ferran Martín

A Comprehensive Phase Noise Analysis of Bang-Bang Digital PLLs.

Luca Avallone Mario Mercandelli Alessio Santiccioli Michael Peter Kennedy Salvatore Levantino Carlo Samori

An 8-Bit 800 MS/s Loop-Unrolled SAR ADC With Common-Mode Adaptive Background Offset Calibration in 28 nm FDSOI.

Ayca Akkaya Firat Celik Yusuf Leblebici

RRAM for Compute-in-Memory: From Inference to Training.

Shimeng Yu Wonbo Shim Xiaochen Peng Yandong Luo


Volume 68, Number 6, June 2021
A 6.78 MHz Single-Stage Wireless Power Transmitter Using a 3-Mode Zero-Voltage Switching Class-D PA.

Xinyuan Ge Lin Cheng Yuan Yao Wing-Hung Ki

Damping Power System Electromechanical Oscillations Using Time Delays.

Georgios Tzounas Rifat Sipahi Federico Milano

A 3-Phase Resonant Switched-Capacitor Converter for Data Center 48-V Rack Power Distribution.

Chuang Wang Yan Lu Nan Sun Rui Paulo Martins

3-12-V Wide Input Range Adaptive Delay Compensated Active Rectifier for 6.78-MHz Loosely Coupled Wireless Power Transfer System.

Gyeongho Namgoong Eun-Ho Choi Woojin Park Bonyoung Lee Hyunjun Park Hyunggun Ma Franklin Bien

Reverse Calculation-Based Low Memory Turbo Decoder for Power Constrained Applications.

Ming Zhan Zhibo Pang Kan Yu Hong Wen

Configurable Quasi-Optimal Sphere Decoding for Scalable MIMO Communications.

Yun Wu John McAllister

Finite-Time Event-Triggered Control for Semi-Markovian Switching Cyber-Physical Systems With FDI Attacks and Applications.

Wenhai Qi Yakun Hou Guangdeng Zong Choon Ki Ahn

Robust PCL Discovery of Data-Driven Mean-Field Game Systems and Control Problems.

Chun Li Yunyun Yang Hui Liang Boying Wu

Intermittent Dynamic Event-Triggered Control for Synchronization of Stochastic Complex Networks.

Yongbao Wu Bing Shen Choon Ki Ahn Wenxue Li

Adaptive Fuzzy Output-Feedback Control Design for a Class of p-Norm Stochastic Nonlinear Systems With Output Constraints.

Liandi Fang Shihong Ding Ju H. Park Li Ma

Robust Formation Control for Multi-Agent Systems: A Reference Correction Based Approach.

Yang Fei Peng Shi Cheng-Chew Lim

Event-Triggered H∞ Filtering for Discrete-Time Switched Systems Under Denial-of-Service.

Hanqing Qu Jun Zhao

Polytopic Event-Triggered Robust Model Predictive Control for Constrained Linear Systems.

Zhongrui Hu Peng Shi Ligang Wu

SymBIST: Symmetry-Based Analog and Mixed-Signal Built-In Self-Test for Functional Safety.

Antonios Pavlidis Marie-Minerve Louërat Eric Faehn Anand Kumar Haralampos-G. Stratigopoulos

Machine Learning for On-the-Fly Reliability-Aware Cell Library Characterization.

Florian Klemme Hussam Amrouch

CRADLE: Combined RF/Acoustic Detection and Localization of Passive Tags.

Angad S. Rekhi Ernest So Albert Gural Amin Arbabian

Imbalance-Tolerant Bit-Line Sense Amplifier for Dummy-Less Open Bit-Line Scheme in DRAM.

Suk Min Kim Byungkyu Song Seong-Ook Jung

A Two-Stage Operand Trimming Approximate Logarithmic Multiplier.

Ratko Pilipovic Patricio Bulic Uros Lotric

Efficient Design of Spiking Neural Network With STDP Learning Based on Fast CORDIC.

Jiajun Wu Yi Zhan Zixuan Peng Xinglong Ji Guoyi Yu Rong Zhao Chao Wang

NoPUF: A Novel PUF Design Framework Toward Modeling Attack Resistant PUFs.

Antian Wang Weihang Tan Yuejiang Wen Yingjie Lao

FPGA-Based Relaxation D/A Converters With Parasitics-Induced Error Suppression and Digital Self-Calibration.

Roberto Rubino Paolo Stefano Crovetti Francesco Musolino

Self-Referenced Single-Ended Resistance Monitoring Write Termination Scheme for STT-RAM Write Energy Reduction.

Sara Choi Hong Keun Ahn Byungkyu Song Seung-Hyuk Kang Seong-Ook Jung

Design of Soft-Error-Aware SRAM With Multi-Node Upset Recovery for Aerospace Applications.

Soumitra Pal Sayonee Mohapatra Wing-Hung Ki Aminul Islam

High-Resolution Wideband Vector-Sum Digital Phase Shifter With On-Chip Phase Linearity Enhancement Technology.

Jie Zhou Huizhen Jenny Qian Xun Luo

A 197.1-μW Wireless Sensor SoC With an Energy-Efficient Analog Front-End and a Harmonic Injection-Locked OOK TX.

Huan Hu Chung-Ching Lin Subhanshu Gupta

A Wide Dynamic Range Multi-Sensor ROIC for Portable Environmental Monitoring Systems With Two-Step Self-Optimization Schemes.

Subin Choi Chan Sam Park Hee Young Chae Byungjoo Oh Jongmin Lee Yeong Min Kwon Jeong Min Baik Heungjoo Shin Jae Joon Kim

Applications of Artificial Intelligence on the Modeling and Optimization for Analog and Mixed-Signal Circuits: A Review.

Morteza Fayazi Zachary Colter Ehsan Afshari Ronald G. Dreslinski

A 2.4-6 GHz Broadband GaN Power Amplifier for 802.11ax Application.

Bei Liu Chirn Chye Boon Mengda Mao Pilsoon Choi Ting Guo

Analysis and Design of a Broadband Receiver Front End for 0.1-to-40-GHz Application.

Jianquan Hu Kaixue Ma

Frequency Selective Impedance Transformer With High-Impedance Transforming Ratio and Extremely High/Low Termination Impedances.

Yongchae Jeong Girdhari Chaudhary Phirun Kim

Nonlinear Analysis of Cross-Coupled Super-Regenerative Oscillators.

Ali Ferschischi Hatem Ghaleb Markus Schulz Udo Jörges Corrado Carta Frank Ellinger

A Fast-Transient Low-Dropout Regulator With Current-Efficient Super Transconductance Cell and Dynamic Reference Control.

Xin Ming Jian-Jun Kuang Hua Liang Jie Zhang Yao Qin Zhi-Wen Zhang Zhuo Wang Bo Zhang

A Cascaded Mode-Switching Sub-Sampling PLL With Quadrature Dual-Mode Voltage Waveform-Shaping Oscillator.

Yiyang Shu Huizhen Jenny Qian Xun Luo

Machine Learning for Automating the Design of Millimeter-Wave Baluns.

Huy Thong Nguyen Andrew F. Peterson

A 0.7-V Sub-mW Type-II Phase-Tracking Bluetooth Low Energy Receiver in 28-nm CMOS.

Suoping Hu Peng Chen Philip Quinlan Robert Bogdan Staszewski

A 0.003-mm2 440fsRMS-Jitter and -64dBc-Reference-Spur Ring-VCO-Based Type-I PLL Using a Current-Reuse Sampling Phase Detector in 28-nm CMOS.

Zunsong Yang Yong Chen Pui-In Mak Rui Paulo Martins

Spur Immunity in MASH-Based Fractional-N CP-PLLs With Polynomial Nonlinearities.

Valerio Mazzaro Michael Peter Kennedy

Magnetoresistive Circuits and Systems: Embedded Non-Volatile Memory to Crossbar Arrays.

Amogh Agrawal Cheng Wang Tanvi Sharma Kaushik Roy


Volume 68, Number 5, May 2021
Multi-Frequency Multi-Amplitude Superposition Modulation Method With Phase Shift Optimization for Single Inverter of Wireless Power Transfer System.

Jie Wu Lizhong Bie Weihao Kong Pengfei Gao Yanfeng Wang

A T-Type Switched-Capacitor Multilevel Inverter With Low Voltage Stress and Self-Balancing.

Yaoqiang Wang Yisen Yuan Gen Li Yuanmao Ye Kewen Wang Jun Liang

Dynamic Triggering Mechanisms for Distributed Adaptive Synchronization Control and Its Application to Circuit Systems.

Yong Xu Jian Sun Gang Wang Zheng-Guang Wu

Dithering Concepts for Spur-Free Nonlinear DTC-Based Frequency Synthesizers.

Christoph Preissl Peter Preyler Andreas Springer Mario Huemer

High-Speed LDPC Decoders Towards 1 Tb/s.

Meng Li Veerle Derudder Kaoutar Bertrand Claude Desset André Bourdoux

Impedance Transparency and Performance Metrics of HBT-Based N-Path Mixers for mmWave Applications.

Robin Ying Alyosha C. Molnar

Adaptive Multi-Band Negative-Group-Delay RF Circuits With Low Reflection.

Roberto Gómez-García José Maria Muñoz-Ferreras Dimitra Psychogiou

Power Scaling Laws for Radio Receiver Front Ends.

Muris Sarajlic Ashkan Sheikhi Liang Liu Henrik Sjöland Ove Edfors

Bumpless Transfer Control for Switched Linear Systems and its Application to Aero-Engines.

Yan Shi Xi-Ming Sun

Co-Design of Fault Detection and Consensus Control Protocol for Multi-Agent Systems Under Hidden DoS Attack.

Dan Zhang Zehua Ye Xiwang Dong

Quasi-Synchronization of Heterogeneous LC Circuits in Grid-Connected Systems With Intentionally Time-Varying Lumped Delays.

Yanping Yang Wangli He Qing-Long Han

Bounded-Input Bounded-Output Stability Tests for Two-Dimensional Continuous-Time Systems.

Yuval Bistritz

Fixed-Time Fault-Tolerant Formation Control for Heterogeneous Multi-Agent Systems With Parameter Uncertainties and Disturbances.

Wanglei Cheng Ke Zhang Bin Jiang Steven X. Ding

Accurate Modeling of the Effective Parasitic Parameters for the Laminated Busbar Connected With Paralleled SiC MOSFETs.

Jianing Wang Shaolin Yu Xing Zhang Zhaoyang Wei Nan Jiang Wenjie Chen Enli Du

Design of Multi-Port With Desired Reference Impedances Using Y-Matrix and Matching Networks.

Rakesh Sinha

Improved Vertex Coloring With NbOₓ Memristor-Based Oscillatory Networks.

Martin Weiher Melanie Herzig Ronald Tetzlaff Alon Ascoli Thomas Mikolajick Stefan Slesazeck

Lattice Trajectory Piecewise Linear Method for the Simulation of Diode Circuits.

Jiade Wang Jun Xu Shuning Wang

Evaluating Performances and Importance of Venture Capitals: A Complex Network Approach.

Jiaqi Liu Xuerong Li Linyuan Lü Jichang Dong Jinhu Lü

Reduced Complexity Optimal Convolution Based on the Discrete Hirschman Transform.

Dingli Xue Linda S. DeBrunner Victor E. DeBrunner

Hardware Architecture for Supersingular Isogeny Diffie-Hellman and Key Encapsulation Using a Fast Montgomery Multiplier.

Mohammad Hossein Farzam Siavash Bayat Sarmadi Hatameh Mosanaei-Boorani Armin Alivand

A Real-Time Architecture for Pruning the Effectual Computations in Deep Neural Networks.

Mohammadreza Asadikouhanjani Hao Zhang Gopalakrishnan Lakshminarayanan Hyuk-Jae Lee Seok-Bum Ko

Hybrid Convolution Architecture for Energy-Efficient Deep Neural Network Processing.

Suchang Kim Jihyuck Jo In-Cheol Park

A Novel Flow for Reducing Dynamic Power and Conditional Performance Improvement.

Moaz Mostafa M. Watheq El-Kharashi Mohamed Dessouky Ahmed M. Zaki

Metastability in Superconducting Single Flux Quantum (SFQ) Logic.

Gourav Datta Yunkun Lin Bo Zhang Peter A. Beerel

Radix-2w Arithmetic for Scalar Multiplication in Elliptic Curve Cryptography.

Abdelkrim Kamel Oudjida Ahmed Liacha

MF-Net: Compute-In-Memory SRAM for Multibit Precision Inference Using Memory-Immersed Data Conversion and Multiplication-Free Operators.

Shamma Nasrin Diaa Badawi Ahmet Enis Çetin Wilfred Gomes Amit Ranjan Trivedi

A 7.8-13.6 pJ/b Ultra-Low Latency and Reconfigurable Neural Network-Assisted Polar Decoder With Multi-Code Length Support.

Chieh-Fang Teng An-Yeu Wu

Analysis and Design of Lossy Capacitive Over-Neutralization Technique for Amplifiers Operating Near fMAX.

Dragan Simic Patrick Reynaert

Impact of Analog Non-Idealities on the Design Space of 6T-SRAM Current-Domain Dot-Product Operators for In-Memory Computing.

Adrian Kneip David Bol

SRIF: Scalable and Reliable Integrate and Fire Circuit ADC for Memristor-Based CIM Architectures.

Abhairaj Singh Muath Abu Lebdeh Anteneh Gebregiorgis Rajendra Bishnoi Rajiv V. Joshi Said Hamdioui

Circuit Modeling for RRAM-Based Neuromorphic Chip Crossbar Array With and Without Write-Verify Scheme.

Tuomin Tao Hanzhi Ma Quankun Chen Zhe-Ming Gu Hang Jin Manareldeen Ahmed Shurun Tan Aili Wang En-Xiao Liu Erping Li

NS-FDN: Near-Sensor Processing Architecture of Feature-Configurable Distributed Network for Beyond-Real-Time Always-on Keyword Spotting.

Qin Li Changlu Liu Peiyan Dong Yanming Zhang Tong Li Sheng Lin Minda Yang Fei Qiao Yanzhi Wang Li Luo Huazhong Yang

A Compact Transformer-Based Fractional-N ADPLL in 10-nm FinFET CMOS.

Chao-Chieh Li Min-Shueh Yuan Chia-Chun Liao Chih-Hsien Chang Yu-Tso Lin Tsung-Hsien Tsai Tien-Chien Huang Hsien-Yuan Liao Chung-Ting Lu Hung-Yi Kuo Augusto Ronchini Ximenes Robert Bogdan Staszewski

A Ku-Band CMOS Power Amplifier With Series-Shunt LC Notch Filter for Satellite Communications.

Jiecheng Zhong Dixian Zhao Xiaohu You

An Active-Under-Coil RFDAC With Analog Linear Interpolation in 28-nm CMOS.

Feifei Zhang Peng Chen Jeffrey S. Walling Anding Zhu Robert Bogdan Staszewski

Synthesis of High-Order Continuously Tunable Low-Pass Active-R Filters.

Adriana C. Sanabria-Borbon Edgar Sánchez-Sinencio

Signal and Noise Analysis of an Open-Circuit Voltage Pixel for Uncooled Infrared Image Sensors.

Roman Fragasse Ramy Tantawy Dale Shane Smith Teressa Specht Zahra Taghipour Phillip Van Hooser Christopher Taylor Theodore J. Ronningen Earl Fuller Charles Reyner Joshua M. Duran Gamini Ariyawansa Sanjay Krishna Waleed Khalil

Approximate Pruned and Truncated Haar Discrete Wavelet Transform VLSI Hardware for Energy-Efficient ECG Signal Processing.

Henrique Seidel Morgana Macedo Azevedo da Rosa Guilherme Paim Eduardo Antônio César da Costa Sérgio J. M. de Almeida Sergio Bampi

Analysis and Design of a Broadband Output Stage With Current-Reuse and a Low Insertion-Loss Bypass Mode for CMOS RF Front-End LNAs.

Daniel Schrögendorfer Thomas Leitner

An Optimized Radiation Tolerant Baseline Correction Filter for HEP Using AI Methodologies.

Bruno Sanches Wilhelmus A. M. Van Noije

Guest Editorial Special Issue on the IEEE Latin American Symposium on Circuits and Systems 2020.

Elena Blokhina

Challenges and Trends of SRAM-Based Computing-In-Memory for AI Edge Devices.

Chuan-Jia Jhang Cheng-Xin Xue Je-Min Hung Fu-Chun Chang Meng-Fan Chang


Volume 68, Number 4, April 2021
Control of a Buck DC/DC Converter Using Approximate Dynamic Programming and Artificial Neural Networks.

Weizhen Dong Shuhui Li Xingang Fu Zhongwen Li Michael Fairbank Yixiang Gao

Output Series-Parallel Connection of Passivity-Based Controlled DC-DC Converters: Generalization of Asymptotic Stability.

Yuma Murakawa Takashi Hikihara

Walsh-Hadamard-Based Orthogonal Sampling Technique for Parallel Neural Recording Systems.

Reza Ranjandish Alexandre Schmid

Fast Beam Training With True-Time-Delay Arrays in Wideband Millimeter-Wave Systems.

Veljko Boljanovic Han Yan Chung-Ching Lin Soumen Mohapatra Deukhyoun Heo Subhanshu Gupta Danijela Cabric

Adaptive Practical Fixed-Time Tracking Control With Prescribed Boundary Constraints.

Ming Chen Huanqing Wang Xiaoping Liu

A Mixed-Pruning Based Framework for Embedded Convolutional Neural Network Acceleration.

Xuepeng Chang Huihui Pan Weiyang Lin Huijun Gao

Delay-Dependent Stability Analysis of Modern Shipboard Microgrids.

Burak Yildirim Meysam Gheisarnejad Mohammad Hassan Khooban

Asymptotic Waveform Evaluation With Higher Order Poles.

Yao-Lin Jiang Jun-Man Yang

LMI-Based Robust Stability Analysis of Discrete-Time Fractional-Order Systems With Interval Uncertainties.

Zhen Zhu Jun-Guo Lu

Distributed Observer-Based H∞ Fault-Tolerant Control for DC Microgrids With Sensor Fault.

Mingyu Huang Li Ding Wenqu Li Chao-Yang Chen Zhi-Wei Liu

Distributed Fault-Tolerant Consensus Tracking Control of Multi-Agent Systems Under Fixed and Switching Topologies.

Chun Liu Bin Jiang Ke Zhang Ron J. Patton

Resonant Clock Synchronization With Active Silicon Interposer for Multi-Die Systems.

Ragh Kuttappa Baris Taskin Scott Lerner Vasil Pano

Re-Assessment of Steep-Slope Device Design From a Circuit-Level Perspective Using Novel Evaluation Criteria and Model-Less Method.

Zhixuan Wang Le Ye Qianqian Huang Yangyuan Wang Ru Huang

Vibration Control of Conveying Fluid Pipe Based on Inerter Enhanced Nonlinear Energy Sink.

Nan Duan Yuhu Wu Xi-Ming Sun Chongquan Zhong

Novel Finite-Time Reliable Control Design for Memristor-Based Inertial Neural Networks With Mixed Time-Varying Delays.

Lanfeng Hua Hong Zhu Kaibo Shi Shouming Zhong Yiqian Tang Yajuan Liu

Finite-Time Intra-Layer and Inter-Layer Quasi-Synchronization of Two-Layer Multi-Weighted Networks.

Yuhua Xu Xiaoqun Wu Bing Mao Jinhu Lü Chengrong Xie

An 800 nW Switched-Capacitor Feature Extraction Filterbank for Sound Classification.

Daniel Villamizar Dante Gabriel Muratore James B. Wieser Boris Murmann

Variation-Aware SRAM Cell Optimization Using Deep Neural Network-Based Sensitivity Analysis.

Hyun-jeong Kwon Daeyeon Kim Young Hwan Kim Seokhyeong Kang

A New Message Expansion Structure for Full Pipeline SHA-2.

Yin Zhang Zhangqing He Meilin Wan Muwen Zhan Ming Zhang Kuang Peng Min Song Haoshuang Gu

A Fast and Energy-Efficient SNN Processor With Adaptive Clock/Event-Driven Computation Scheme and Online Learning.

Sixu Li Zhaomin Zhang Ruixin Mao Jianbiao Xiao Liang Chang Jun Zhou

Neural Network Training With Stochastic Hardware Models and Software Abstractions.

Bonan Zhang Lung-Yen Chen Naveen Verma

Analysis and Optimization Strategies Toward Reliable and High-Speed 6T Compute SRAM.

Jian Chen Wenfeng Zhao Yuqi Wang Yajun Ha

Memory Access Optimization for On-Chip Transfer Learning.

Muhammad Awais Hussain Tsung-Han Tsai

A High-Performance Bidirectional Architecture for the Quasi-Comparison-Free Sorting Algorithm.

Wei-Ting Chen Ren-Der Chen Pei-Yin Chen Yu-Che Hsiao

On the Resiliency of NCFET Circuits Against Voltage Over-Scaling.

Guilherme Paim Georgios Zervakis Girish Pahwa Yogesh Singh Chauhan Eduardo Antonio Cesar da Costa Sergio Bampi Jörg Henkel Hussam Amrouch

Mode Composite Waveguide Based on Hybrid Substrate Integrated Waveguide and Spoof Surface Plasmon Polariton Structure.

Zhang-Biao Yang Dongfang Guan Qingfeng Zhang Hantao Xu Mingtuan Lin Ximeng Zhang Rentang Hong Shaowei Yong

A Low-Profile Autonomous Interface Circuit for Piezoelectric Micro-Power Generators.

Berkay Çiftci Salar Chamanian Aziz Koyuncuoglu Ali Muhtaroglu Haluk Külah

A Foreground Calibration for M-Channel Time-Interleaved Analog-to-Digital Converters Based on Genetic Algorithm.

Yang Azevedo Tavares Minjae Lee

A 660 MHz-5 GHz 6-Phase/3-Phase Transmitter With Cancellation of Counter-Intermodulation Distortion and Improved Image Rejection.

Hong Jiang Wael Al-Qaq Mark Forrester Zhihang Zhang Timothy McHugh Brian Iehl Lawrence Connell Eric Sung Ramesh Chadalawada Robert Irvine

Dual Input Digitally Controlled Broadband Three-Stage Doherty Power Amplifier With Back-Off Reconfigurability.

Ayushi Barthwal Karun Rawat Shiban K. Koul

A 1.25 μJ per Measurement Ultrasound Rangefinder System in 65 nm CMOS for Explorations With a Swarm of Sensor Nodes.

Gönenç Berkol Peter G. M. Baltus Pieter J. A. Harpe Eugenio Cantatore

Analysis and Design of a CMOS Bidirectional Passive Vector-Modulated Phase Shifter.

Peng Gu Dixian Zhao Xiaohu You

A Galvanic Isolated Amplifier Based on CMOS Integrated Hall-Effect Sensors.

Seyed Sepehr Mirfakhraei Yves Audet Ahmad Hassan Mohamad Sawan

Jitter-Power Trade-Offs in PLLs.

Behzad Razavi


Volume 68, Number 3, March 2021
A Time-Division-Multiplexed Clocked-Analog Low-Dropout Regulator.

Ziying Xie Kaixuan Ye Ken Xingze Wang Qixiang Cheng Min Tan

Impedance Shaping Control Strategy for Wireless Power Transfer System Based on Dynamic Small-Signal Analysis.

Tian Tan Kainan Chen Qiuqiong Lin Ye Jiang Liqiang Yuan Zhengming Zhao

An RF Energy Harvesting and Power Management Unit Operating Over -24 to +15 dBm Input Range.

Gustavo C. Martins Wouter A. Serdijn

Hardware Implementation for Belief Propagation Flip Decoding of Polar Codes.

Houren Ji Yifei Shen Wenqing Song Zaichen Zhang Xiaohu You Chuan Zhang

An Approach to Estimate Lithium-Ion Battery State of Charge Based on Adaptive Lyapunov Super Twisting Observer.

Gautam Sethia Sisir Kumar Nayak Somanath Majhi

Predictive Control of Low-Cost Three-Phase Four-Switch Inverter-Fed Drives for Brushless DC Motor Applications.

Farshid Naseri Ebrahim Farjah Erik Schaltz Kaiyuan Lu Nima Tashakor

Robust H∞ Adaptive Sliding Mode Fault Tolerant Control for T-S Fuzzy Fractional Order Systems With Mismatched Disturbances.

Xuefeng Zhang Wenkai Huang Qing-Guo Wang

Using Strictly Dissipative Impedance Coupling in the Waveform Relaxation Method for the Analysis of Interconnect Circuits.

Tarik Menkad Anestis Dounavis

Vector Wave Digital Filters and Their Application to Circuits With Two-Port Elements.

Alberto Bernardini Paolo Maffezzoni Augusto Sarti

Area and Power-Efficient Variable-Sized DCT Architecture for HEVC Using Muxed-MCM Problem.

Ahmad Shabani Mohammad Sabri Bahareh Khabbazan Somayeh Timarchi

Hardware Self-Organizing Map Based on Digital Frequency-Locked Loop and Triangular Neighborhood Function.

Hiroomi Hikawa

Symmetric-Mapping LUT-Based Method and Architecture for Computing XY-Like Functions.

Hui Chen Heping Yang Wenqing Song Zhonghai Lu Yuxiang Fu Li Li Zongguang Yu

Non-Volatile Approximate Arithmetic Circuits Using Scalable Hybrid Spin-CMOS Majority Gates.

Honglan Jiang Shaahin Angizi Deliang Fan Jie Han Leibo Liu

ECC Coprocessor Over a NIST Prime Field Using Fast Partial Montgomery Reduction.

Piljoo Choi Mun-Kyu Lee Dong Kyue Kim

Time-Domain Computing in Memory Using Spintronics for Energy-Efficient Convolutional Neural Network.

Yue Zhang Jinkai Wang Chenyu Lian Yining Bai Guanda Wang Zhizhong Zhang Zhenyi Zheng Lei Chen Kun Zhang Georgios Ch. Sirakoulis Youguang Zhang

Characterization of Inter-Cell Interference in 3D NAND Flash Memory.

Sukkwang Park Jaekyun Moon

Dynamic Read VMIN and Yield Estimation for Nanoscale SRAMs.

Shourya Gupta Benton H. Calhoun

Ultra-Low-Power and Performance-Improved Logic Circuit Using Hybrid TFET-MOSFET Standard Cells Topologies and Optimized Digital Front-End Process.

Zhixuan Wang Le Ye Qianqian Huang Kaixuan Du Zhichao Tan Yangyuan Wang Ru Huang

LWRpro: An Energy-Efficient Configurable Crypto-Processor for Module-LWR.

Yihong Zhu Min Zhu Bohan Yang Wenping Zhu Chenchen Deng Chen Chen Shaojun Wei Leibo Liu

BitSystolic: A 26.7 TOPS/W 2b~8b NPU With Configurable Data Flows for Edge Devices.

Qing Yang Hai Li

A 296 nJ Energy-per-Measurement Relaxation Oscillator-Based Analog Front-End for Chemiresistive Sensors.

Antonio Vincenzo Radogna Simonetta Capone Luca Francioso Pietro Aleardo Siciliano Stefano D'Amico

A 0.59-mW 78.7-dB SNDR 2-MHz Bandwidth Active-RC Delta-Sigma Modulator With Relaxed and Reduced Amplifiers.

Hetong Wang Debajit Basak Yang Zhang Kong-Pang Pun

A +0.44°C/-0.4°C Inaccuracy Temperature Sensor With Multi-Threshold MOSFET-Based Sensing Element and CMOS Thyristor-Based VCO.

Jing Li Yuyu Lin Ning Ning Qi Yu

A 76-81-GHz Four-Channel Digitally Controlled CMOS Receiver for Automotive Radars.

Dongfang Pan Zongming Duan Bowen Wu Yan Wang Dong Huang Yan Wang Liguo Sun Ping Gui Lin Cheng

A New Boosted Active-Capacitor With Negative-Gm for Wide Tuning Range VCOs.

Pawan Agarwal Mohammad Chahardori Deukhyoun Heo

A Scalable 128-Channel, Time-Multiplexed Potentiostat for Parallel Electrochemical Experiments.

Tom R. Molderez Korneel Rabaey Marian Verhelst

Parametric and Structural-Parametric Synthesis of Nonuniform Transmission Line Resonators.

Alexander Zakharov

A 270 nW Switched-Capacitor Acoustic Feature Extractor for Always-On Voice Activity Detection.

Erjia Shi Xian Tang Kong-Pang Pun

Experimental Study of Fractional-Order RC Circuit Model Using the Caputo and Caputo-Fabrizio Derivatives.

Da Lin Xiaozhong Liao Lei Dong Ruocen Yang Samson S. Yu Herbert Ho-Ching Iu Tyrone Fernando Zhen Li

Fully Integrated Analog Machine Learning Classifier Using Custom Activation Function for Low Resolution Image Classification.

Sanjeev Tannirkulam Chandrasekaran Akshay Jayaraj Vinay Elkoori Ghantala Karnam Imon Banerjee Arindam Sanyal

LAYGO: A Template-and-Grid-Based Layout Generation Engine for Advanced CMOS Technologies.

Jaeduk Han Woo-Rham Bae Eric Chang Zhongkai Wang Borivoje Nikolic Elad Alon

Design of Three-Stage OTA Based on Settling-Time Requirements Including Large and Small Signal Behavior.

Gianluca Giustolisi Gaetano Palumbo

A Novel Topology of Coupled Phase-Locked Loops.

Saleh Karman Francesco Tesolin Salvatore Levantino Carlo Samori

Approximate Equivalent Circuits to Understand Tradeoffs in Geometry of On-Chip Inductors.

Weiyu Leng Asad A. Abidi

Delta-Sigma FDC Enhancements for FDC-Based Digital Fractional-N PLLs.

Enrique Alvarez-Fontecilla Amr I. Eissa Eslam Helal Colin Weltin-Wu Ian Galton


Volume 68, Number 2, February 2021
A Novel Digital Control Method of Primary-Side Regulated Flyback With Active Clamping Technique.

Minggang Chen Shen Xu Linlin Huang Weifeng Sun Longxing Shi

A Rapid Circle Centre-Line Concept-Based MPPT Algorithm for Solar Photovoltaic Energy Conversion Systems.

Vardan Saxena Nishant Kumar Bhim Singh Bijaya Ketan Panigrahi

Frequency Splitting Elimination and Utilization in Magnetic Coupling Wireless Power Transfer Systems.

Zhi-Juan Liao Shuai Ma Qi-Kai Feng Chenyang Xia Dongsheng Yu

Distributed Control of Multi-Functional Grid-Tied Inverters for Power Quality Improvement.

Jianbo Chen Dong Yue Chunxia Dou Ye Li Gerhard P. Hancke Shengxuan Weng Josep M. Guerrero Xiaohua Ding

Fixed-Complexity Tree Search Schemes for Detecting Generalized Spatially Modulated Signals: Algorithms and Hardware Architectures.

Tsung-Hsien Liu Shih-Lun Wang You-Jia Lin Yin-Tsung Hwang Chiao-En Chen Yuan-Sun Chu

A Reconfigurable Passive Mixer-Based Sub-GHz Receiver Front-End for Fast Spectrum Sensing Functionality.

Seongjin Bae Dongmin Kim Dongmyeong Kim Ilku Nam Donggu Im

Design of High-Performance and Area-Efficient Decoder for 5G LDPC Codes.

Hangxuan Cui Fakhreddine Ghaffari Khoa Le David Declercq Jun Lin Zhongfeng Wang

CMOS Full-Duplex Mixer-First Receiver With Adaptive Self-Interference Cancellation.

Seyyed-Amir Ayati Amirreza Alizadeh Sayfe Kiaei

Dynamic Event-Based Non-Fragile Dissipative State Estimation for Quantized Complex Networks With Fading Measurements and Its Application.

Sha Fan Huaicheng Yan Hao Zhang Hao Shen Kaibo Shi

Time Domain Solution Analysis and Novel Admissibility Conditions of Singular Fractional-Order Systems.

Qing-Hao Zhang Jun-Guo Lu Ying-Dong Ma Yang-Quan Chen

Distributed Adaptive Finite-Time Compensation Control for UAV Swarm With Uncertain Disturbances.

Jialong Zhang Pu Zhang Jianguo Yan

H∞ Stabilization of Discrete-Time Nonlinear Semi-Markov Jump Singularly Perturbed Systems With Partially Known Semi-Markov Kernel Information.

Hao Shen Mengping Xing Shengyuan Xu Michael V. Basin Ju H. Park

Dynamic Event-Triggered Tracking Control for a Class of p-Normal Nonlinear Systems.

Feng Shu Junyong Zhai

Event-Triggered Sliding Mode Control of Power Systems With Communication Delay and Sensor Faults.

Pengcheng Chen Li Yu Dan Zhang

Adaptive Event-Triggered SMC for Stochastic Switching Systems With Semi-Markov Process and Application to Boost Converter Circuit Model.

Wenhai Qi Guangdeng Zong Wei Xing Zheng

Data-Driven Resilient Control for Linear Discrete-Time Multi-Agent Networks Under Unconfined Cyber-Attacks.

Wenle Zhang Shuai Mao Jiahao Huang Ljupco Kocarev Yang Tang

Continuous-Time, Configurable Analog Linear System Solutions With Transconductance Amplifiers.

Jennifer Hasler Aishwarya Natarajan

A Hardware-Friendly Approach Towards Sparse Neural Networks Based on LFSR-Generated Pseudo-Random Sequences.

Foroozan Karimzadeh Ningyuan Cao Brian Crafton Justin Romberg Arijit Raychowdhury

High-Throughput Portable True Random Number Generator Based on Jitter-Latch Structure.

Xinyu Wang Huaguo Liang Yanjie Wang Liang Yao Yang Guo Maoxiang Yi Zhengfeng Huang Haochen Qi Yingchun Lu

Neural Synaptic Plasticity-Inspired Computing: A High Computing Efficient Deep Convolutional Neural Network Accelerator.

Zihan Xia Jienan Chen Qiu Huang Jinting Luo Jianhao Hu

Ultralow-Latency VLSI Architecture Based on a Linear Approximation Method for Computing Nth Roots of Floating-Point Numbers.

Fei Lyu Xiaoqi Xu Yu Wang Yuanyong Luo Yuxuan Wang Hongbing Pan

RoadNet-RT: High Throughput CNN Architecture and SoC Design for Real-Time Road Segmentation.

Lin Bai Yecheng Lyu Xinming Huang

A 96-MB 3D-Stacked SRAM Using Inductive Coupling With 0.4-V Transmitter, Termination Scheme and 12: 1 SerDes in 40-nm CMOS.

Kota Shiba Tatsuo Omori Kodai Ueyoshi Shinya Takamaeda-Yamazaki Masato Motomura Mototsugu Hamada Tadahiro Kuroda

Design of Low-Voltage Power Efficient Frequency Dividers in Folded MOS Current Mode Logic.

Francesco Centurelli Giuseppe Scotti Alessandro Trifiletti Gaetano Palumbo

A Logic-Compatible eDRAM Compute-In-Memory With Embedded ADCs for Processing Neural Networks.

Chengshuo Yu Taegeun Yoo Hyunjoon Kim Tony Tae-Hyoung Kim Kevin Chai Tshun Chuan Bongjin Kim

A Fractional Order Notch Filter to Compensate the Attenuation-Loss Due to Change in Order of the Circuit.

Arpit Sourav Mohapatra Karabi Biswas

A 91.0-dB SFDR Single-Coarse Dual-Fine Pipelined-SAR ADC With Split-Based Background Calibration in 28-nm CMOS.

Yuefeng Cao Shumin Zhang Tianli Zhang Yongzhen Chen Yutong Zhao Chixiao Chen Fan Ye Junyan Ren

Power-Speed Trade-Offs in Design of Scaled FET Circuits Using C/IDS Methodology.

Armin Tajalli

A 0.11-0.38 pJ/cycle Differential Ring Oscillator in 65 nm CMOS for Robust Neurocomputing.

Xueyong Zhang Jyotibdha Acharya Arindam Basu

A Fully Synthesizable Fractional-N MDLL With Zero-Order Interpolation-Based DTC Nonlinearity Calibration and Two-Step Hybrid Phase Offset Calibration.

Bangan Liu Yuncheng Zhang Junjun Qiu Huy Cu Ngo Wei Deng Kengo Nakata Toru Yoshioka Jun Emmei Jian Pang Aravind Tharayil Narayanan Haosheng Zhang Teruki Someya Atsushi Shirane Kenichi Okada

An SoC FPAA Based Programmable, Ladder-Filter Based, Linear-Phase Analog Filter.

Jennifer Hasler Sahil Shah

A High-Temperature Model for GaN-HEMT Transistors and its Application to Resistive Mixer Design.

Jebreel M. Salem Fariborz Lohrabi Pour Dong Sam Ha

Universal Frequency-Domain Analysis of N-Path Networks.

Mykhailo Tymchenko Aravind Nagulu Harish Krishnaswamy Andrea Alù

A 7-bit 2 GS/s Time-Interleaved SAR ADC With Timing Skew Calibration Based on Current Integrating Sampler.

Wenning Jiang Yan Zhu Chi-Hang Chan Boris Murmann Rui Paulo Martins


Volume 68, Number 1, January 2021
Reply to Comments on "Architectural Evolution of Integrated M-Phase High-Q Bandpass Filters".

Ahmad Mirzaei Hooman Darabi David Murphy

Comments on "Architectural Evolution of Integrated M-Phase High-Q Bandpass Filters".

Guoxiang Han Peter R. Kinget

Spin Wave Normalization Toward All Magnonic Circuits.

Abdulqader Nael Mahmoud Frederic Vanderveken Christoph Adelmann Florin Ciubotaru Sorin Cotofana Said Hamdioui

Synthesis of Constant Power Loads Using Switching Converters Under Sliding-Mode Control.

Blanca Areli Martínez-Treviño Abdelali El Aroudi Angel Cid-Pastor Germain Garcia Luis Martínez-Salamero

A Real-Time-Link-Adaptive Operation Scheme for Maximum Energy Storage Efficiency in Resonant CM Wireless Power Receivers.

Mansour Taghadosi Hossein Kassiri

Efficient Implementation of 400 Gbps Optical Communication FEC.

Dmitri V. Truhachev Kamal El-Sankary Alireza Karami Abolfazl Zokaei Shizhong Li

Fast Nested Key Equation Solvers for Generalized Integrated Interleaved Decoder.

Zhenshan Xie Xinmiao Zhang

The Truth About 2-Level Transition Elimination in Bang-Bang PAM-4 CDRs.

Marijn Verbeke Guy Torfs Pieter Rombouts

Asynchronous Event-Triggered Sliding Mode Control for Semi-Markov Jump Systems Within a Finite-Time Interval.

Jing Wang Tingting Ru Jianwei Xia Hao Shen Victor Sreeram

Observer-Based Bipartite Containment Control for Singular Multi-Agent Systems Over Signed Digraphs.

Zhen-Hua Zhu Bin Hu Zhi-Hong Guan Ding-Xue Zhang Tao Li

Adaptive Cooperative Terminal Sliding Mode Control for Distributed Energy Storage Systems.

Yue Yang Dezhi Xu Tiedong Ma Xiaojie Su

Finite-Time and Fixed-Time Bipartite Consensus Tracking of Multi-Agent Systems With Weighted Antagonistic Interactions.

Min Zhao Chen Peng Engang Tian

Adaptive Fault Estimation for Unmanned Surface Vessels With a Neural Network Observer Approach.

Liheng Chen Ming Liu Yan Shi Haijun Zhang Enjiao Zhao

All Digital Phase-Locked Loop Networks for Clock Generation and Distribution: Network Stability, Convergence and Performance.

Eugene Koskin Pierre Bisiaux Dimitri Galayko Elena Blokhina

Variable Cut-Off Frequency Observer-Based Positioning for Ball-Beam Systems Without Velocity and Current Feedback Considering Actuator Dynamics.

Yonghun Kim Seok-Kyoon Kim Choon Ki Ahn

Neural Network-Based Distributed Adaptive Pre-Assigned Finite-Time Consensus of Multiple TCP/AQM Networks.

Chunmei Wang Xiangyong Chen Jinde Cao Jianlong Qiu Yang Liu Yiping Luo

Modeling and Control of Islanded DC Microgrid Clusters With Hierarchical Event-Triggered Consensus Algorithm.

Zhiyi Chen Xinghuo Yu Wenying Xu Guanghui Wen

Finite/Fixed-Time Anti-Synchronization of Inconsistent Markovian Quaternion-Valued Memristive Neural Networks With Reaction-Diffusion Terms.

Xiaona Song Jingtao Man Shuai Song Choon Ki Ahn

Hardware-Efficient Emulation of Leaky Integrate-and-Fire Model Using Template-Scaling-Based Exponential Function Approximation.

Jeeson Kim Vladimir Kornijcuk Changmin Ye Doo Seok Jeong

Gradient-Adaptive Spline-Interpolated LUT Methods for Low-Complexity Digital Predistortion.

Pablo Pascual Campo Alberto Brihuega Lauri Anttila Matias Turunen Dani Korpi Markus Allén Mikko Valkama

The Constant Multiplier FFT.

Mario Garrido Pedro Malagón

An MTJ-Based Asynchronous System With Extremely Fine-Grained Voltage Scaling.

Ningyuan Yin Baofa Huang Xiaobai Chen Jianjun Chen Zhiyi Yu

Hybrid Pass Transistor Logic With Ambipolar Transistors.

Xuan Hu Amy S. Abraham Jean Anne C. Incorvia Joseph S. Friedman

Set-Based Obfuscation for Strong PUFs Against Machine Learning Attacks.

Jiliang Zhang Chaoqun Shen

High Speed and Low Digital Resources Implementation of Hodgkin-Huxley Neuronal Model Using Base-2 Functions.

Saeed Haghiri Ali Naderi Behzad Ghanbari Arash Ahmadi

High-Density Memristor-CMOS Ternary Logic Family.

Xiaoyuan Wang Pengfei Zhou Jason Kamran Eshraghian Chih-Yang Lin Herbert Ho-Ching Iu Ting-Chang Chang Sung-Mo Steve Kang

High Performance CNN Accelerators Based on Hardware and Algorithm Co-Optimization.

Tian Yuan Weiqiang Liu Jie Han Fabrizio Lombardi

Exploring Applications of STT-RAM in GPU Architectures.

Xiaoxiao Liu Mengjie Mao Xiuyuan Bi Hai Helen Li Yiran Chen

A Wideband Differential Linear Low-Noise Transconductance Amplifier With Active-Combiner Feedback in Complementary MGTR Configurations.

Benqing Guo Jing Gong Yao Wang

A 70-to-2 V Triboelectric Energy Harvesting System Utilizing Parallel-SSHI Rectifier and DC-DC Converters.

Ismail Kara Mustafa Becermis Mohamed Abdel-Aal Kamar Mustafa Aktan Hakan Dogan Senol Mutlu

A 0.85mm2 BLE Transceiver Using an On-Chip Harmonic-Suppressed RFIO Circuitry With T/R Switch.

Zheng Sun Hanli Liu Hongye Huang Dexian Tang Dingxin Xu Tohru Kaneko Zheng Li Jian Pang Rui Wu Wei Deng Atsushi Shirane Kenichi Okada

A 2erms- Temporal Noise CMOS Image Sensor With In-Pixel 1/f Noise Reduction and Conversion Gain Modulation for Low Light Imaging.

Neha Priyadarshini Mukul Sarkar

Noise Analysis of Charge-Balanced Readout Circuits for MEMS Accelerometers.

Alice Lanniel Tobias Boeser Thomas Alpert Maurits Ortmanns

Frequency Design of Lossless Passive Electronic Filters: A State-Space Formulation of the Direct Synthesis Approach.

Arthur Perodou Anton Korniienko Gérard Scorletti Mykhailo Zarudniev Jean-Baptiste David Ian O'Connor

Broadband Amplifier Design Technique by Dissipative Matching Networks.

Walter Ciccognani Sergio Colangeli Patrick E. Longhi Antonio Serino Rocco Giofrè Lorenzo Pace Ernesto Limiti

Implementation of Ternary Weights With Resistive RAM Using a Single Sense Operation Per Synapse.

Axel Laborieux Marc Bocquet Tifenn Hirtzlin Jacques-Olivier Klein Etienne Nowak Elisa Vianello Jean-Michel Portal Damien Querlioz

MASH-Based Divider Controllers for Mitigation of Wandering Spurs in a Fractional-N Frequency Synthesizer.

Dawei Mai Michael Peter Kennedy

From MOSFETs to Ambipolar Transistors: Standard Cell Synthesis for the Planar RFET Technology.

Maximilian Reuter Johannes Pfau Tillmann A. Krauss Jürgen Becker Klaus Hofmann

Power Management IC With a Three-Phase Cold Self-Start for Thermoelectric Generators.

Thinh Tran-Dinh Hieu Minh Pham Loan Pham-Nguyen Sang-Gug Lee Hanh-Phuc Le

A 0.14-to-0.29-pJ/bit 14-GBaud/s Trimodal (NRZ/PAM-4/PAM-8) Half-Rate Bang-Bang Clock and Data Recovery (BBCDR) Circuit in 28-nm CMOS.

Xiaoteng Zhao Yong Chen Pui-In Mak Rui Paulo Martins

Fast and Accurate Inference on Microcontrollers With Boosted Cooperative Convolutional Neural Networks (BC-Net).

Luca Mocerino Andrea Calimera

Multi-Context TCAM-Based Selective Computing: Design Space Exploration for a Low-Power NN.

Ren Arakawa Naoya Onizawa Jean-Philippe Diguet Takahiro Hanyu

Advanced Mixed Signal Concepts Exploiting the Strong Body-Bias Effect in CMOS 22FDX®.

Enne Wittenhagen Marcel Runge Nima Lotfi Hossein Ghafarian Yuan Tian Friedel Gerfers

Ultra-Low-Power FDSOI Neural Circuits for Extreme-Edge Neuromorphic Intelligence.

Arianna Rubino Can Livanelioglu Ning Qiao Melika Payvand Giacomo Indiveri

Active Circuits With Diodes: Topological Conditions Sufficient to Determine the State of a Diode.

Maurizio Ciampa

Variable-Rate VLSI Architecture for 400-Gb/s Hard-Decision Product Decoder.

Vikram Jain Christoffer Fougstedt Per Larsson-Edefors

Unfolding Nonlinear Dynamics in Analogue Systems With Mem-Elements.

Mauro Di Marco Mauro Forti Fernando Corinto Leon O. Chua

22 dB Signal-to-Noise Ratio Real-Time Proton Sound Detector for Experimental Beam Range Verification.

Elia Arturo Vallicelli Andrea Baschirotto Sebastian Lehrack Walter Assmann Katia Parodi Salvatore Viola Giorgio Riccobene Marcello De Matteis

Special Issue on the IEEE Asia Pacific Conference of Circuits and Systems 2019 and the IEEE International Conference on Electronics, Circuits and Systems 2019.

Elena Blokhina