Volume 69, Number 4, April 2022
Modular Multilevel Converter Impedance Computation Based on Periodic Small-Signal Analysis and Vector Fitting.

Davide del Giudice Angelo Brambilla Daniele Linaro Federico Bizzarri

A Continuously-Scalable-Conversion-Ratio Step-Up/Down SC Energy-Harvesting Interface With MPPT Enabled by Real-Time Power Monitoring With Frequency-Mapped Capacitor DAC.

Yeohoon Yoon Hyungmin Gi Jongmin Lee Minsik Cho Changyoun Im Yongmin Lee Chisung Bae Sang Joon Kim Yoonmyung Lee

A Battery Management System Using Interleaved Pulse Charging With Charge and Temperature Balancing Based on NARX Network.

Tsung-Wen Sun Tsung-Heng Tsai

Application of Envelope-Following Techniques to the Simulation of Hybrid Power Systems.

Daniele Linaro Davide del Giudice Angelo Brambilla Federico Bizzarri

A Two-Level Energy Management Strategy for Multi-Microgrid Systems With Interval Prediction and Reinforcement Learning.

Luolin Xiong Yang Tang Shuai Mao Hangyue Liu Ke Meng Zhaoyang Dong Feng Qian

Low-Complexity and Low-Latency SVC Decoding Architecture Using Modified MAP-SP Algorithm.

Seungwoo Hong Dongyun Kam Sangbu Yun Jeongwon Choe Namyoon Lee Youngjoo Lee

Hybrid Precoding Baseband Processor for 64 × 64 Millimeter Wave MIMO Systems.

Chen-Chien Kao Chiao-En Chen Chia-Hsiang Yang

An Area-Efficient Message Passing Detector for Massive MIMO Systems.

Suwen Song Zhongfeng Wang

Stochastic Event-Based Distributed Fusion Estimation Over Sensor Networks With Fading Channel.

Xiaoyuan Zheng Hao Zhang Zhuping Wang Chao Huang Huaicheng Yan

Dynamic Quantization Driven Synchronization of Networked Systems Under Event-Triggered Mechanism.

Lulu Li Yifan Sun Jianquan Lu Jinde Cao

A Mobile Platform for Movement Tracking Based on a Fast-Execution-Time Optical-Flow Algorithm.

Rafael de la Rosa-Vidal Juan A. Leñero-Bardallo José María Guerrero-Rodríguez Ángel Rodríguez-Vázquez

Average Controllability of Complex Networks With Laplacian Dynamics.

Jiawei Zhu Linying Xiang Yanying Yu Fei Chen Guanrong Chen

Gain-Scheduling Fault Estimation for Discrete-Time Takagi-Sugeno Fuzzy Systems: A Depth Partitioning Approach.

Xiangpeng Xie Daoguang Ma Dong Yue Jianwei Xia

AMPS: An Automated Mesochronous Pipeline Scheduler and Design Space Explorer for High Performance Digital Circuits.

Fateme Sadat Ayatollahi M. B. Ghaznavi-Ghoushchi Naser Mohammadzadeh Seyedeh Fatemeh Ghamkhari

Applications of the Frenet Frame to Electric Circuits.

Federico Milano Georgios Tzounas Ioannis Dassios Taulant Kërçi

Secret-Key Exchange Through Synchronization of Randomized Chaotic Oscillators Aided by Logistic Hash Function.

Koshiro Onuki Kenichiro Cho Yoshihiko Horio Takaya Miyano

A Dynamic System Approach to Spiking Second Order Memristor Networks.

Francesco Marrone Gianluca Zoppo Fernando Corinto Marco Gilli

A Class of Directional Zero-Phase 2D Filters Designed Using Analytical Approach.

Radu Matei

FPGA Implementation of Sparsity Independent Regularized Pursuit for Fast CS Reconstruction.

Thomas James Thomas J. Sheeba Rani

A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins.

Erfan Abbasian Farzaneh Izadinasab Morteza Gholipour

C-AND: Mixed Writing Scheme for Disturb Reduction in 1T Ferroelectric FET Memory.

Mor M. Dahan Evelyn T. Breyer Stefan Slesazeck Thomas Mikolajick Shahar Kvatinsky

A Sneak Current Compensation Scheme With Offset Cancellation Sensing Circuit for ReRAM-Based Cross-Point Memory Array.

Tae-Hyun Kim Byungkyu Song In-Jun Jung Seong-Ook Jung

More is Less: Domain-Specific Speech Recognition Microprocessor Using One-Dimensional Convolutional Recurrent Neural Network.

Bo Liu Hao Cai Zilong Zhang Xiaoling Ding Ziyu Wang Yu Gong Weiqiang Liu Jinjiang Yang Zhen Wang Jun Yang

Soft-Error-Aware Read-Stability-Enhanced Low-Power 12T SRAM With Multi-Node Upset Recoverability for Aerospace Applications.

Soumitra Pal Wing-Hung Ki Chi-Ying Tsui

ML-PLAC: Multiplierless Piecewise Linear Approximation for Nonlinear Function Evaluation.

Fei Lyu Yan Xia Zhelong Mao Yanxu Wang Yu Wang Yuanyong Luo

Ultra-Fast FPGA Implementation of Graph Cut Algorithm With Ripple Push and Early Termination.

Guangyao Yan Xinzhe Liu Fupeng Chen Hui Wang Yajun Ha

Proposal of Analog In-Memory Computing With Magnified Tunnel Magnetoresistance Ratio and Universal STT-MRAM Cell.

Hao Cai Yanan Guo Bo Liu Mingyang Zhou Juntong Chen Xinning Liu Jun Yang

A Low-Power Graph Convolutional Network Processor With Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices.

Sangjin Kim Sangyeob Kim Juhyoung Lee Hoi-Jun Yoo

TSUNAMI: Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning.

Sangyeob Kim Juhyoung Lee Sanghoon Kang Donghyeon Han Wooyoung Jo Hoi-Jun Yoo

An Accurate, Error-Tolerant, and Energy-Efficient Neural Network Inference Engine Based on SONOS Analog Memory.

T. Patrick Xiao Ben Feinberg Christopher H. Bennett Vineet Agrawal Prashant Saxena Venkatraman Prabhakar Krishnaswamy Ramkumar Harsha Medu Vijay Raghavan Ramesh Chettuvetty Sapan Agarwal Matthew J. Marinella

A Practical Design-Space Analysis of Compute-in-Memory With SRAM.

Samuel Spetalnick Arijit Raychowdhury

Random Sampling-and-Averaging Techniques for Single-Photon Arrival-Time Detections in Quantum Applications: Theoretical Analysis and Realization Methodology.

Tony Wu Ruoman Yang Tzu-Chien Hsueh

New Proofs of the Two-Port Networks Unconditional Stability Criteria Based on the Rollett K Parameter.

Antonio Serino Walter Ciccognani Sergio Colangeli Patrick E. Longhi Ernesto Limiti

Robust Wireless Interrogation of Fully-Passive RLC Sensors.

Siavash Kananian George Alexopoulos Ada S. Y. Poon

All-Port-Reflectionless Narrowband Filtering Power Divider Topology With Generic Equations.

Boyoung Lee Jongheun Lee Gyuwon Lee Juseop Lee

A Sub-1/°C Bandgap Voltage Reference With High-Order Temperature Compensation in 0.18-μm CMOS Process.

Shalin Huang Mingdong Li Huan Li Peng Yin Zhou Shu Amine Bermak Fang Tang

Full-Circuit Implementation of Transformer Network Based on Memristor.

Chao Yang Xiaoping Wang Zhigang Zeng

A Fully Integrated Low-Power Hall-Based Isolation Amplifier With IMR Greater Than 120 dB.

Seyed Sepehr Mirfakhraei Yves Audet Ahmad Hassan Mohamad Sawan


Volume 69, Number 3, March 2022
Comments on "Fractional-Order Sliding Mode Approach of Buck Converters With Mismatched Disturbances".

Mohammad Saleh Tavazoei

Capacitive Wireless Power Transfer System With Inductorless Receiver Side.

Xu Chen Jiasheng Huang Yi Dou Zhe Zhang Dennis Øland Larsen Pere Llimós Muntal Michael A. E. Andersen

A Secure Dynamic Event-Triggered Mechanism for Resilient Control of Multi-Agent Systems Under Sensor and Actuator Attacks.

Yang Yang Yue Qian Wenbin Yue

Finite-Time Fault Estimation and Tolerant Control for Nonlinear Interconnected Distributed Parameter Systems With Markovian Switching Channels.

Xiaona Song Jingtao Man Shuai Song Choon Ki Ahn

Quaternion-Based Attitude Synchronization With an Event-Based Communication Strategy.

Dandan Zhang Yang Tang Xin Jin Jürgen Kurths

Observer-Based Event-Triggered Formation Control of Multi-Agent Systems With Switching Directed Topologies.

Guoliang Zhu Kexin Liu Haibo Gu Weilin Luo Jinhu Lü

Robust Model Predictive Control for Linear Systems via Self-Triggered Pseudo Terminal Ingredients.

Weilin Yang Dezhi Xu Lincheng Jin Bin Jiang Peng Shi

Periodic Event-Triggered Control for a Class of Nonminimum-Phase Nonlinear Systems Using Dynamic Triggering Mechanism.

Jiankun Sun Jun Yang Wei Xing Zheng Shihua Li

A New Full Chaos Coupled Mapping Lattice and Its Application in Privacy Image Encryption.

Xingyuan Wang Pengbo Liu

Universal Dynamics Analysis of Locally-Active Memristors and its Applications.

Yan Liang Qian Zhu Guangyi Wang Shimul Kanti Nath Herbert Ho-Ching Iu Sanjoy Kumar Nandi Robert Glen Elliman

A Compact and Continuous Reformulation of the Strachan TaOx Memristor Model With Improved Numerical Stability.

Ahmet Samil Demirkol Alon Ascoli Ioannis Messaris Mohamad Moner Al Chawa Ronald Tetzlaff Leon O. Chua

Edge of Chaos Theory Resolves Smale Paradox.

Alon Ascoli Ahmet Samil Demirkol Ronald Tetzlaff Leon O. Chua

A Greedy Search Approach for Time-Interleaved ADCs Calibration Based on NRZ Input Patterns.

Yang Azevedo Tavares Seunghyun Kim Minjae Lee

Efficient Hardware Implementations of Legendre Symbol Suitable for MPC Applications.

Farhad Taheri Siavash Bayat Sarmadi Shahriar Ebrahimi

Fast Supersingular Isogeny Diffie-Hellman and Key Encapsulation Using a Customized Pipelined Montgomery Multiplier.

Mohammad Hossein Farzam Siavash Bayat Sarmadi Hatameh Mosanaei-Boorani Armin Alivand

Dilate-Invariant Temporal Convolutional Network for Real-Time Edge Applications.

Emad A. Ibrahim Bart van den Dool Sayandip De Manil Dev Gomony Jos Huisken Marc Geilen

Optimized Interpolation of Four-Term Karatsuba Multiplication and a Method of Avoiding Negative Multiplicands.

Zhen Gu Shuguo Li

A Flexible and Efficient FPGA Accelerator for Various Large-Scale and Lightweight CNNs.

Xiao Wu Yufei Ma Meiqi Wang Zhongfeng Wang

A 46-nF/10-MΩ Range 114-aF/0.37-Ω Resolution Parasitic- and Temperature-Insensitive Reconfigurable RC-to-Digital Converter in 0.18-μm CMOS.

Arup K. George Wooyoon Shim Jaeha Kung Ji-Hoon Kim Minkyu Je Junghyup Lee

A 56-Gb/s Reconfigurable Silicon-Photonics Transmitter Using High-Swing Distributed Driver and 2-Tap In-Segment Feed-Forward Equalizer in 65-nm CMOS.

Jian He Yuguang Zhang Han Liu Qiwen Liao Zhao Zhang Miaofeng Li Fan Jiang Jingbo Shi Jian Liu Nanjian Wu Yong Chen Patrick Yin Chiang Ningmei Yu Xi Xiao Nan Qi

A Novel In-Memory Wallace Tree Multiplier Architecture Using Majority Logic.

Vijaya Lakshmi John Reuben Vikramkumar Pudi

17-aFrms Resolution Noise-Immune Fingerprint Scanning Analog Front-End for Under-Glass Mutual-Capacitive Fingerprint Sensors.

Kyeongmin Park Seunghun Oh Sanghyun Heo Sangwoong Shin Franklin Bien

A 10 Gb/s/pin Single-Ended Transmitter With Reflection-Aided Duobinary Modulation for Dual-Rank Mobile Memory Interfaces.

Yong-Un Jeong Sungphil Choi Joo-Hyung Chae Jaekwang Yun Shin-Hyun Jeong Suhwan Kim

A Constant gₘ Current Reference Generator With Pseudo Resistor-Based Compensation.

Mohsen Shahghasemi Kofi M. Odame

Improved Metastability of True Single-Phase Clock D-Flipflops With Applications in Vernier Time-to-Digital Converters.

Parth Parekh Fei Yuan Yushi Zhou

A 10-Bit 2.5-GS/s Two-Step ADC With Selective Time-Domain Quantization in 28-nm CMOS.

Maliang Liu Chenxi Zhang Shubin Liu Dengquan Li

Continuous-Mode Hybrid Asymmetrical Load- Modulated Balanced Amplifier With Three-Way Modulation and Multi-Band Reconfigurability.

Yuchen Cao Haifeng Lyu Kenle Chen

A 1.01 NEF Low-Noise Amplifier Using Complementary Parametric Amplification.

Gabriele Atzeni Jérémy Guichemerre Alessandro Novello Taekwang Jang

Shared Offset Cancellation and Chopping Techniques to Enhance the Voltage Accuracy of Multi-Amplifier Systems for Feedback Sensing in Power Management Applications.

Keng Chen Luca Petruzzi Ronald Hulfachor Marvin Onabajo

An E-Band SiGe High Efficiency, High Harmonic Suppression Amplifier Multiplier Chain With Wide Temperature Operating Range.

Peigen Zhou Jixin Chen Pinpin Yan Jiayang Yu Debin Hou Hao Gao Wei Hong

A 56-Gbps PAM-4 Wireline Receiver With 4-Tap Direct DFE Employing Dynamic CML Comparators in 65 nm CMOS.

Dengjie Wang Ziqiang Wang Hao Xu Jiawei Wang Zeliang Zhao Chun Zhang Zhihua Wang Hong Chen

Simulation-Based Approaches for Comprehensive Schmitt-Trigger Analyses.

Jürgen Maier Christian Hartl-Nesic Andreas Steininger

Reconstructing Aliased Frequency Spectra by Using Multiple Sample Rates.

Maikel Huiskamp Mark S. Oude Alink Bram Nauta Anne-Johan Annema Harijot Singh Bindra

Methodology for Readout and Ring Oscillator Optimization Toward Energy-Efficient VCO-Based ADCs.

Jonas Borgmans Elisa Sacco Pieter Rombouts Georges G. E. Gielen

Flicker Phase-Noise Reduction Using Gate-Drain Phase Shift in Transformer-Based Oscillators.

Xi Chen Yizhe Hu Teerachot Siriburanon Jianglin Du Robert Bogdan Staszewski Anding Zhu


Volume 69, Number 2, February 2022
Fixed-Time Backstepping Fractional-Order Sliding Mode Excitation Control for Performance Improvement of Power System.

Sunhua Huang Jie Wang Linyun Xiong Jiayan Liu Penghan Li Ziqiang Wang Gang Yao

Stability-Oriented Minimum Switching/Sampling Frequency for Cyber-Physical Systems: Grid-Connected Inverters Under Weak Grid.

Rui Wang Qiuye Sun Huaguang Zhang Lei Liu Yonghao Gui Peng Wang

Predictive Voltage Hierarchical Controller Design for Islanded Microgrids Under Limited Communication.

Zhanqiang Zhang Chunxia Dou Dong Yue Bo Zhang

Joint Digital Online Compensation of TX and RX Time-Varying I/Q Mismatch and DC-Offset in mmWave Transceiver System.

Hung-Chih Liu Zheng-Chun Huang Ngoc-Giang Doan Chih-Wei Jen Shyh-Jye Jou

Channel Estimation Using Deep Learning on an FPGA for 5G Millimeter-Wave Communication Systems.

Pavan Kumar Chundi Xiaodong Wang Mingoo Seok

Distributed Reinforcement Learning Containment Control for Multiple Nonholonomic Mobile Robots.

Wenbin Xiao Qi Zhou Yang Liu Hongyi Li Renquan Lu

Saturated Threshold Event-Triggered Control for Multiagent Systems Under Sensor Attacks and Its Application to UAVs.

Guangdeng Chen Deyin Yao Hongyi Li Qi Zhou Renquan Lu

Hybrid Event-Triggered Approach for Quasi-Consensus of Uncertain Multi-Agent Systems With Impulsive Protocols.

Xiang Xie Tengda Wei Xiaodi Li

A TM-Based Adaptive Learning Data-Model for Trajectory Tracking and Real-Time Control of a Class of Nonlinear Systems.

Junkang Li Yong Fang Liming Zhang

Output Feedback-Based Neural Adaptive Finite-Time Containment Control of Non-Strict Feedback Nonlinear Multi-Agent Systems.

Lin Zhao Xiao Chen Jinpeng Yu Peng Shi

Improved Fixed-Time Stability Lemma of Discontinuous System and its Application.

Fanchao Kong Quanxin Zhu Tingwen Huang

Attack-Defense Evolutionary Game Strategy for Uploading Channel in Consensus-Based Secondary Control of Islanded Microgrid Considering DoS Attack.

Bo Zhang Chunxia Dou Dong Yue Ju H. Park Zhanqiang Zhang

Event-Triggered Control for Networked Systems Under Denial of Service Attacks and Applications.

Ning Zhao Peng Shi Wen Xing Chee Peng Lim

A 555 Timer IC Chaotic Circuit: Chaos in a Piecewise Linear System With Stable but No Unstable Equilibria.

Peera Niranatlumpong Michael A. Allen

n-Dimensional Polynomial Chaotic System With Applications.

Zhongyun Hua Yinxing Zhang Han Bao Hejiao Huang Yicong Zhou

Protection Degree and Migration in the Stochastic SIRS Model: A Queueing System Perspective.

Yuhan Li Ziyan Zeng Minyu Feng Jürgen Kurths

Synchronization of Heterogeneous Forced First-Order Kuramoto Oscillator Networks: A Differential Inequality Approach.

Shih-Hsin Chen Chia-Chi Chu Chun-Hsiung Hsia Ming-Cheng Shiue

Adaptive Filtering With Reduced Computational Complexity Using SOPOT Arithmetic.

Luiz Felipe da Silveira Coelho Lisandro Lovisolo Michel Pompeu Tcheou

Efficient Hint-Based Event (EHE) Issue Scheduling for Hardware Multithreaded RISC-V Pipeline.

Yossi Eni Shlomo Greenberg Yehuda Ben-Shimol

FPGA Accelerator for Real-Time Non-Line-of-Sight Imaging.

Zhengpeng Liao Deyang Jiang Xiaochun Liu Andreas Velten Yajun Ha Xin Lou

Circuit-Level Exploration of Ternary Logic Using Memristors and MOSFETs.

Jeonggyu Yang Hyundong Lee Jaehoon Jeong Taehak Kim Sin-Hyung Lee Taigon Song

Low-Complexity Resource-Shareable Parallel Generalized Integrated Interleaved Encoder.

Yok Jye Tang Xinmiao Zhang

An Efficient Full Hardware Implementation of Extended Merkle Signature Scheme.

Yuan Cao Yanze Wu Wen Wang Xu Lu Shuai Chen Jing Ye Chip-Hong Chang

Memory-Efficient CNN Accelerator Based on Interlayer Feature Map Compression.

Zhuang Shao Xiaoliang Chen Li Du Lei Chen Yuan Du Wei Zhuang Huadong Wei Chenjia Xie Zhongfeng Wang

Tunable CMOS Pseudo-Resistors for Resistances of Hundreds of GΩ.

Jeffer Mauricio Rueda-Díaz Evandro Bolzan Thiago Darós Fernandes Márcio Cherem Schneider

A 32 × 32-Pixel Flash LiDAR Sensor With Noise Filtering for High-Background Noise Applications.

Jin Hu Bingzheng Liu Rui Ma Maliang Liu Zhangming Zhu

A 6.15-10.9 Gb/s 0.58 pJ/Bit Reference-Less Half-Rate Clock and Data Recovery With "Phase Reset" Scheme.

Wenbo Xiao Qiwei Huang Hamed Mosalam Chenchang Zhan Zhiqun Li Quan Pan

A Time-Interleaved SAR ADC With Signal-Independent Background Timing Calibration.

Christopher K. Su Paul J. Hurst Stephen H. Lewis

A 10 mV-500 mV Input Range, 91.4% Peak Efficiency Adaptive Multi-Mode Boost Converter for Thermoelectric Energy Harvesting.

Lianxi Liu Yihe Xing Wenbin Huang Xufeng Liao Yongyuan Li

0.6-V-VIN 7.0-nA-IQ 0.75-mA-IL CMOS Capacitor-Less LDO for Low-Voltage Micro-Energy-Harvested Supplies.

Óscar Pereira-Rial Paula López Juan M. Carrillo

A 650 kV/μs Common-Mode Resilient CMOS Galvanically Isolated Communication System.

Mahdi Javid Karel Ptacek Richard Burton Jennifer Kitchen

Digital-to-Analog Hardware Trojan Attacks.

Mohamed Elshamy Giorgio Di Natale Alhassan Sayed Antonios Pavlidis Marie-Minerve Louërat Hassan Aboushady Haralampos-G. Stratigopoulos

A High Linearity TDC With a United-Reference Fractional Counter for LiDAR.

Wei Zhang Rui Ma Xiayu Wang Hao Zheng Zhangming Zhu

Memristor Crossbar Arrays Performing Quantum Algorithms.

Iosif-Angelos Fyrigos Vasileios G. Ntinas Nikolaos Vasileiadis Georgios Ch. Sirakoulis Panagiotis Dimitrakis Yue Zhang Ioannis G. Karafyllidis

A Universal Evaluation Method of Element Matching Strategies for Data Converters Based on Optimal Combination Algorithms.

Yanjin Lyu Yuanqi Hu

Analysis of RC Time-Constant Variations in Continuous-Time Pipelined ADCs.

Shanthi Pavan Saravana Manivannan

Accuracy Optimization With the Framework of Non-Volatile Computing-In-Memory Systems.

Yuxuan Huang Yifan He Jinshan Yue Huazhong Yang Yongpan Liu

A 0.32 nW-1.07 µW All-Dynamic Versatile Resistive Sensor Interface With System-Level Ratiometric Measurement.

Haoming Xin Peter G. M. Baltus Eugenio Cantatore Pieter Harpe

Accurate Performance Evaluation of Jitter-Power FOM for Multiplying Delay-Locked Loop.

Yueduo Liu Rongxin Bao Zihao Zhu Shiheng Yang Xiong Zhou Jun Yin Pui-In Mak Qiang Li

A 13-Bit 2-GS/s Time-Interleaved ADC With Improved Correlation-Based Timing Skew Calibration Strategy.

Meng Ni Xiao Wang Fule Li Woogeun Rhee Zhihua Wang


Volume 69, Number 1, January 2022
Slew-Rate Booster and Frequency Compensation Circuit for Automotive LDOs.

Cristian Raducan Marius Neag

Automotive Switched-Capacitor DC-DC Converter With High BW Power Mirror and Dual Supply Driver.

Cristian Raducan Marius Neag Adrian-Gabriel Bajenaru

A High-Sensitivity Wide Input-Power-Range Ultra-Low-Power RF Energy Harvester for IoT Applications.

Seyed Mohammad Noghabaei Rafael L. Radin Yvon Savaria Mohamad Sawan

An Ultra-Low Quiescent Current Tri-Mode DC-DC Buck Converter With 92.1% Peak Efficiency for IoT Applications.

Menglian Zhao Mengyu Li Shuang Song Yaopeng Hu Yanxia Yao Xuetong Bai Rubo Hu Xiaobo Wu Zhichao Tan

An Interference-Tolerant Synchronization Scheme for Wireless Communication Systems Based on Direct Sequence Spread Spectrum.

Jian Shao Aydin Ilker Karsilayan Christopher T. Rodenbeck José Silva-Martínez

A High-Efficiency Segmented Reconfigurable Cyclic Shifter for 5G QC-LDPC Decoder.

Hing-Mo Lam Silin Lu Hezi Qiu Min Zhang Hailong Jiao Shengdong Zhang

Ternary LDPC Error Correction for Arrhythmia Classification in Wireless Wearable Electrocardiogram Sensors.

Yanfang Liu Xiaochen Tang David G. M. Mitchell Wei Tang

High-Precision Sub-Nyquist Sampling System Based on Modulated Wideband Converter for Communication Device Testing.

Zolboo Byambadorj Koji Asami Takahiro J. Yamaguchi Akio Higo Masahiro Fujita Tetsuya Iizuka

A 4T/Cell Amplifier-Chain-Based XOR PUF With Strong Machine Learning Attack Resilience.

Jieyun Zhang Chongyao Xu Man-Kay Law Yang Jiang Xiaojin Zhao Pui-In Mak Rui Paulo Martins

Arithmetic and Logic Circuits Based on ITO-Stabilized ZnO TFT for Transparent Electronics.

Weiwei Shi Lizhi Hu Yuan Liu Sunbin Deng Yuming Xu Hoi-Sing Kwok Rongsheng Chen

A Robust Area-Efficient Physically Unclonable Function With High Machine Learning Attack Resilience in 28-nm CMOS.

You-Cheng Lai Chun-Yen Yao Shao-Hong Yang Ying-Wei Wu Tsung-Te Liu

A 4.29nJ/pixel Stereo Depth Coprocessor With Pixel Level Pipeline and Region Optimized Semi-Global Matching for IoT Application.

Pingcheng Dong Zhuoyu Chen Zhuoao Li Yuzhe Fu Lei Chen Fengwei An

A Raw Image-Based End-to-End Object Detection Accelerator Using HOG Features.

Xiangyu Zhang Ling Zhang Xin Lou

In Situ Aging-Aware Error Monitoring Scheme for IMPLY-Based Memristive Computing-in-Memory Systems.

Jiarui Xu Yi Zhan Yujie Li Jiajun Wu Xinglong Ji Guoyi Yu Wenyu Jiang Rong Zhao Chao Wang

A Novel Module-Sign Low-Power Implementation for the DLMS Adaptive Filter With Low Steady-State Error.

Gennaro Di Meo Davide De Caro Giacinto Paolo Saggese Ettore Napoli Nicola Petra Antonio Giuseppe Maria Strollo

A Dual-Entropy-Superposed PUF With In-Cell Entropy Sign-Based Stabilization.

Xiaojin Zhao Chunwei Xie Qiang Zhao Xiaofang Pan

A New Energy-Efficient and High Throughput Two-Phase Multi-Bit per Cycle Ring Oscillator-Based True Random Number Generator.

Yuan Cao Xiaojin Zhao Wenhan Zheng Yue Zheng Chip-Hong Chang

MuGRA: A Scalable Multi-Grained Reconfigurable Accelerator Powered by Elastic Neural Network.

Yirong Kan Man Wu Renyuan Zhang Yasuhiko Nakashima

Vau Da Muntanialas: Energy-Efficient Multi-Die Scalable Acceleration of RNN Inference.

Gianna Paulin Francesco Conti Lukas Cavigelli Luca Benini

Senputing: An Ultra-Low-Power Always-On Vision Perception Chip Featuring the Deep Fusion of Sensing and Computing.

Han Xu Ningchao Lin Li Luo Qi Wei Runsheng Wang Cheng Zhuo Xunzhao Yin Fei Qiao Huazhong Yang

A Low-Power Multiband Blocker-Tolerant Receiver With a Steep Filtering Slope Using an N-Path LNA With Feedforward OB Blocker Cancellation and Filtering-by-Aliasing Baseband Amplifiers.

Haijun Shao Gengzhen Qi Pui-In Mak Rui Paulo Martins

A Low-Power Sigma-Delta Modulator for Healthcare and Medical Diagnostic Applications.

Andrea Boni Luca Giuffredi Giorgio Pietrini Marco Ronchi Michele Caselli

Mismatch Analysis of DTCs With an Improved BIST-TDC in 28-nm CMOS.

Peng Chen Jun Yin Feifei Zhang Pui-In Mak Rui Paulo Martins Robert Bogdan Staszewski

Multi-Channel Analog-to-Digital Conversion Using a Delta-Sigma Modulator Without Reset and a Modulated-Sinc-Sum Filter.

R. S. Ashwin Kumar Nagendra Krishnapura

A Millimeter-Wave CMOS VCO Featuring a Mode-Ambiguity-Aware Multi-Resonant-RLCM Tank.

Hao Guo Yong Chen Chaowei Yang Pui-In Mak Rui Paulo Martins

A Reduced-Area Capacitor-Only Loop Filter With Polarity-Switched Gm for Large Multiplication Factor Millimeter-Wave Sub-Sampling PLLs.

Abhishek Bhat Nagendra Krishnapura

A 0.22-μW Single-Bit VCO-Based Time-Domain Sensor-to-Digital Front-End With Reduced Supply Sensitivity.

Huan Hu Subhanshu Gupta

A 10 nA Ultra-Low Quiescent Current and 60 ns Fast Transient Response Low-Dropout Regulator for Internet-of-Things.

Jia-Rui Huang Yong-Hwa Wen Tzu-Hsien Yang Jia-Jyun Lee Guan-Ting Liu Ke-Horng Chen Ying-Hsi Lin Shian-Ru Lin Tsung-Yen Tsai

A 120-MHz Broadband Differential Linear Driver With Channel Mismatch Cancellation and Bandwidth Extension for B-PLC Applications.

Mingdong Li Xiuhong Wang Shalin Huang Fang Tang Amine Bermak

Accurate and Fast On-Wafer Test Circuitry Integrated With a 140-dB-Input-Range Current Digitizer for Parameter Tests in WAT.

Long-Yi Lin Hao-Chiao Hong

A SiGe HBT 6th-Order 10 GHz Inductor-Less Anti-Aliasing Low-Pass Filter for High-Speed ATI Digitizers.

Francesco Centurelli Pietro Monsurrò Giuseppe Scotti Pasquale Tommasino Alessandro Trifiletti

A 16-Bit Calibration-Free SAR ADC With Binary-Window and Capacitor-Swapping DAC Switching Schemes.

Yung-Hui Chung Chia-Hui Tien Qi-Feng Zeng

A MEMS-CMOS Microsystem for Contact-Less Temperature Measurements.

Elisabetta Moisello Michele Vaiana Maria Eloisa Castagna Giuseppe Bruno Igor Brouk Yael Nemirovsky Piero Malcovati Edoardo Bonizzoni

A Single-Opamp Third Order CT ΔΣ Modulator With SAB-ELD-Merged Integrator and Three-Stage Hybrid Compensation Opamp.

Kai Xing Wei Wang Yan Zhu Chi-Hang Chan Rui Paulo Martins

A 529-μW Fractional-N All-Digital PLL Using TDC Gain Auto-Calibration and an Inverse-Class-F DCO in 65-nm CMOS.

Peng Chen Xi Meng Jun Yin Pui-In Mak Rui Paulo Martins Robert Bogdan Staszewski

A 20 MHz-2 GHz Inductorless Two-Fold Noise-Canceling Low-Noise Amplifier in 28-nm CMOS.

Amir Bozorg Robert Bogdan Staszewski

An Active Dead-Time Control Circuit With Timing Elements for a 45-V Input 1-MHz Half-Bridge Converter.

Mousa Karimi Mohamed Ali Ahmad Hassan Mohamad Sawan Benoit Gosselin

Switched-Capacitor Bandgap Voltage Reference for IoT Applications.

Chi-Wa U Man-Kay Law Chi-Seng Lam Rui Paulo Martins

A Broadband Fully Integrated Power Amplifier Using Waveform Shaping Multi-Resonance Harmonic Matching Network.

Gholamreza Nikandish Abbas Nasri Alireza Yousefi Anding Zhu Robert Bogdan Staszewski

Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems - ISICAS 2021.

Elena Blokhina